Diff of the two buildlogs: -- --- b1/build.log 2025-07-18 22:58:50.408674151 +0000 +++ b2/build.log 2025-07-18 23:23:54.954558894 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Thu Aug 20 17:00:41 -12 2026 -I: pbuilder-time-stamp: 1787288441 +I: Current time: Sat Jul 19 12:58:58 +14 2025 +I: pbuilder-time-stamp: 1752879538 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/unstable-reproducible-base.tgz] I: copying local configuration @@ -42,52 +42,84 @@ dpkg-source: info: applying 0031-Set-pythonpath-for-usage-docs.patch I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/3060108/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/1583468/tmp/hooks/D01_modify_environment starting +debug: Running on codethink04-arm64. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 Jul 18 22:59 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/1583468/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/1583468/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build/reproducible-path' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='arm64' - DEBIAN_FRONTEND='noninteractive' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="37" [3]="1" [4]="release" [5]="aarch64-unknown-linux-gnu") + BASH_VERSION='5.2.37(1)-release' + BUILDDIR=/build/reproducible-path + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=arm64 + DEBIAN_FRONTEND=noninteractive DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=12 ' - DISTRIBUTION='unstable' - HOME='/root' - HOST_ARCH='arm64' + DIRSTACK=() + DISTRIBUTION=unstable + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=aarch64 + HOST_ARCH=arm64 IFS=' ' - INVOCATION_ID='d892e7d9472e45a8a7bef815453b6263' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='3060108' - PS1='# ' - PS2='> ' + INVOCATION_ID=3095c7c0b55a4a6f941f8e2220ec6f0d + LANG=C + LANGUAGE=nl_BE:nl + LC_ALL=C + MACHTYPE=aarch64-unknown-linux-gnu + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnu + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=1583468 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.JqUAfM9R/pbuilderrc_ockt --distribution unstable --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.JqUAfM9R/b1 --logfile b1/build.log yosys_0.52-2.dsc' - SUDO_GID='109' - SUDO_UID='104' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://192.168.101.4:3128' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.JqUAfM9R/pbuilderrc_fANJ --distribution unstable --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.JqUAfM9R/b2 --logfile b2/build.log yosys_0.52-2.dsc' + SUDO_GID=109 + SUDO_UID=104 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://192.168.101.4:3128 I: uname -a - Linux codethink03-arm64 6.1.0-37-cloud-arm64 #1 SMP Debian 6.1.140-1 (2025-05-22) aarch64 GNU/Linux + Linux i-capture-the-hostname 6.1.0-37-cloud-arm64 #1 SMP Debian 6.1.140-1 (2025-05-22) aarch64 GNU/Linux I: ls -l /bin - lrwxrwxrwx 1 root root 7 May 12 2025 /bin -> usr/bin -I: user script /srv/workspace/pbuilder/3060108/tmp/hooks/D02_print_environment finished + lrwxrwxrwx 1 root root 7 May 12 19:25 /bin -> usr/bin +I: user script /srv/workspace/pbuilder/1583468/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -1608,8 +1640,8 @@ Setting up tzdata (2025b-4) ... Current default time zone: 'Etc/UTC' -Local time is now: Fri Aug 21 05:01:54 UTC 2026. -Universal Time is now: Fri Aug 21 05:01:54 UTC 2026. +Local time is now: Fri Jul 18 23:00:14 UTC 2025. +Universal Time is now: Fri Jul 18 23:00:14 UTC 2025. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up liberror-perl (0.17030-1) ... @@ -1933,7 +1965,11 @@ Building tag database... -> Finished parsing the build-deps I: Building the package -I: Running cd /build/reproducible-path/yosys-0.52/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../yosys_0.52-2_source.changes +I: user script /srv/workspace/pbuilder/1583468/tmp/hooks/A99_set_merged_usr starting +Not re-configuring usrmerge for unstable +I: user script /srv/workspace/pbuilder/1583468/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/reproducible-path/yosys-0.52/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../yosys_0.52-2_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.52-2 dpkg-buildpackage: info: source distribution unstable @@ -1968,49 +2004,49 @@ make -C source/code_examples/fifo clean make -C source/code_examples/intro clean make -C source/code_examples/macc clean -make -C source/code_examples/opt clean -make -C source/code_examples/scrambler clean make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' rm -f *.d *.so *.dot -make -C source/code_examples/selections clean +make -C source/code_examples/opt clean make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' rm -f *.dot make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' rm -f *.dot make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' rm -f *.dot +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' rm -f *.dot +make -C source/code_examples/scrambler clean +make -C source/code_examples/selections clean +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' +rm -f fifo.out fifo.stat +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' rm -f *.dot -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' -make -C source/code_examples/show clean rm -rf *.dot +make -C source/code_examples/show clean +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' make -C source/code_examples/stubnets clean -rm -f fifo.out fifo.stat +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' rm -rf *.dot -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' -make -C source/code_examples/synth_flow clean -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' -make -C source/code_examples/techmap clean -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' -rm -f *.dot rm -f sumprod.out +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' rm -f test1.log test2.log test3.log +make -C source/code_examples/synth_flow clean +make -C source/code_examples/techmap clean rm -f example.out -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' rm -f stubnets.so stubnets.d +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' +rm -f *.dot make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' rm -f *.dot +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' rm -rf build/* rm -rf source/cmd util/__pycache__ @@ -2086,33 +2122,33 @@ rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common -mkdir -p techlibs/common g++ -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc -mkdir -p kernel/ +mkdir -p techlibs/common mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90)\"; }" > kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new -g++ -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ mkdir -p kernel/ mkdir -p kernel/ +g++ -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc g++ -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc -'abc' comes from a tarball. Continuing. g++ -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc +mkdir -p kernel/ g++ -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc mkdir -p kernel/ -mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc -g++ -o kernel/io.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/io.cc mkdir -p kernel/ -mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc +g++ -o kernel/io.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/io.cc g++ -o kernel/gzip.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/gzip.cc mkdir -p kernel/ -mkdir -p kernel/ g++ -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc +mkdir -p kernel/ +mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc g++ -o kernel/tclapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/tclapi.cc +mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ -g++ -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ +g++ -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc +'abc' comes from a tarball. Continuing. g++ -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ g++ -o kernel/cost.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc @@ -2774,8 +2810,8 @@ mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ -mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h +mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h @@ -2792,8 +2828,8 @@ mkdir -p share/include/kernel/ cp "./"/kernel/scopeinfo.h share/include/kernel/scopeinfo.h mkdir -p share/include/kernel/ -mkdir -p share/include/kernel/ cp "./"/kernel/sexpr.h share/include/kernel/sexpr.h +mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h @@ -2852,8 +2888,8 @@ mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic -mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v +mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v @@ -2908,8 +2944,8 @@ mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 -mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v +mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib @@ -2928,8 +2964,8 @@ mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 -mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v +mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v @@ -2954,14 +2990,14 @@ mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous -mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v +mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous -mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v +mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v @@ -2991,9 +3027,9 @@ cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py +touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v -touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin @@ -3007,8 +3043,8 @@ mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin -mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt +mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt @@ -3029,18 +3065,18 @@ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 -cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 +cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 -cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 +cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 -mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt +mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt @@ -3063,8 +3099,8 @@ mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cyclone10lp -mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v +mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v @@ -3129,8 +3165,8 @@ mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice -mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v +mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v @@ -3161,20 +3197,20 @@ mkdir -p share/microchip cp "./"/techlibs/microchip/arith_map.v share/microchip/arith_map.v mkdir -p share/microchip -mkdir -p share/microchip cp "./"/techlibs/microchip/cells_map.v share/microchip/cells_map.v +mkdir -p share/microchip cp "./"/techlibs/microchip/cells_sim.v share/microchip/cells_sim.v mkdir -p share/microchip cp "./"/techlibs/microchip/polarfire_dsp_map.v share/microchip/polarfire_dsp_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/brams_defs.vh share/microchip/brams_defs.vh mkdir -p share/microchip -mkdir -p share/microchip cp "./"/techlibs/microchip/LSRAM_map.v share/microchip/LSRAM_map.v -cp "./"/techlibs/microchip/LSRAM.txt share/microchip/LSRAM.txt mkdir -p share/microchip +cp "./"/techlibs/microchip/LSRAM.txt share/microchip/LSRAM.txt mkdir -p share/microchip cp "./"/techlibs/microchip/uSRAM_map.v share/microchip/uSRAM_map.v +mkdir -p share/microchip cp "./"/techlibs/microchip/uSRAM.txt share/microchip/uSRAM.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v @@ -3185,14 +3221,14 @@ mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams.txt share/nanoxplore/brams.txt mkdir -p share/nanoxplore -mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb.v share/nanoxplore/cells_bb.v +mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_m.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v cp "./"/techlibs/nanoxplore/cells_map.v share/nanoxplore/cells_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim.v share/nanoxplore/cells_sim.v @@ -3207,14 +3243,14 @@ mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_l.v mkdir -p share/nanoxplore -mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_m.v +mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_u.v share/nanoxplore/cells_wrap_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v mkdir -p share/nanoxplore -cp "./"/techlibs/nanoxplore/latches_map.v share/nanoxplore/latches_map.v mkdir -p share/nanoxplore +cp "./"/techlibs/nanoxplore/latches_map.v share/nanoxplore/latches_map.v cp "./"/techlibs/nanoxplore/rf_init.vh share/nanoxplore/rf_init.vh mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_l.txt @@ -3247,8 +3283,8 @@ mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus -cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus +cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v @@ -3259,8 +3295,8 @@ mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v mkdir -p share/quicklogic/pp3 -mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v +mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v @@ -3271,29 +3307,29 @@ mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_map.v mkdir -p share/quicklogic/pp3 -mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/pp3/abc9_unmap.v share/quicklogic/pp3/abc9_unmap.v -cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v mkdir -p share/quicklogic/qlf_k6n10f +cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams.txt -cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v mkdir -p share/quicklogic/qlf_k6n10f +cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v +mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v mkdir -p share/quicklogic/qlf_k6n10f -cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v mkdir -p share/quicklogic/qlf_k6n10f +cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v mkdir -p share/quicklogic/qlf_k6n10f -cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v mkdir -p share/quicklogic/qlf_k6n10f +cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v @@ -3312,12 +3348,12 @@ mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v @@ -3328,8 +3364,8 @@ mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt +mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt @@ -3366,8 +3402,8 @@ mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v +mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v @@ -3375,23 +3411,23 @@ cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p abc && make -C abc -f "/build/reproducible-path/yosys-0.52/abc/Makefile" ABCSRC="/build/reproducible-path/yosys-0.52/abc" CC="g++" CXX="g++" ABC_USE_LIBSTDCXX=1 ABC_USE_NAMESPACE=abc VERBOSE= ARCHFLAGS="-DABC_USE_STDINT_H """ PROG="abc" MSG_PREFIX="-> ABC: " mkdir -p kernel/ -mkdir -p kernel/ +g++ -o kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.cc make[3]: Entering directory '/build/reproducible-path/yosys-0.52/abc' -> ABC: Using CC=g++ -> ABC: Using CXX=g++ -> ABC: Using AR=ar -> ABC: Using LD=g++ -g++ -o kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.cc +mkdir -p kernel/ g++ -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/rtlil/ -g++ -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ -g++ -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc +g++ -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc -> ABC: Compiling in namespace -> ABC: Compiling with CUDD -> ABC: Using libreadline -> ABC: Using pthreads -> ABC: Found GCC_VERSION 14 +g++ -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc -> ABC: Found GCC_MAJOR>=5 -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable @@ -3401,8 +3437,12 @@ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/opt/ g++ -o passes/opt/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/peepopt.cc +mkdir -p passes/pmgen/ +g++ -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc +mkdir -p techlibs/ice40/ -> ABC: `` Generating dependency: /src/map/if/acd/ac_wrapper.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/acd/ac_wrapper.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/map/if/acd/ac_wrapper.cpp > src/map/if/acd/ac_wrapper.d +g++ -o techlibs/ice40/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_wrapcarry.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rar/rewire_miaig.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rar/rewire_miaig.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/rar/rewire_miaig.cpp > src/opt/rar/rewire_miaig.d @@ -3412,11 +3452,7 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/eslim/eSLIM.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/eslim/eSLIM.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/eslim/eSLIM.cpp > src/opt/eslim/eSLIM.d -mkdir -p passes/pmgen/ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc -mkdir -p techlibs/ice40/ -g++ -o techlibs/ice40/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_wrapcarry.cc -> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/glucose/AbcGlucose.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp > src/sat/glucose/AbcGlucose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3449,11 +3485,11 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/SimpSolver2.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/glucose2/SimpSolver2.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/SimpSolver2.cpp > src/sat/glucose2/SimpSolver2.d -mkdir -p techlibs/microchip/ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -o techlibs/microchip/microchip_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dsp.cc -> ABC: `` Generating dependency: /src/sat/glucose2/System2.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/glucose2/System2.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/System2.cpp > src/sat/glucose2/System2.d +mkdir -p techlibs/microchip/ +g++ -o techlibs/microchip/microchip_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dsp.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_analyze.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_analyze.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_analyze.cpp > src/sat/cadical/cadical_analyze.d @@ -3488,6 +3524,8 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_clause.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_clause.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_clause.cpp > src/sat/cadical/cadical_clause.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p techlibs/quicklogic/ +g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_collect.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_collect.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_collect.cpp > src/sat/cadical/cadical_collect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3496,8 +3534,6 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_condition.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_condition.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_condition.cpp > src/sat/cadical/cadical_condition.d -mkdir -p techlibs/quicklogic/ -g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_config.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_config.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_config.cpp > src/sat/cadical/cadical_config.d @@ -3520,6 +3556,8 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_decompose.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_decompose.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_decompose.cpp > src/sat/cadical/cadical_decompose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p techlibs/xilinx/ +g++ -o techlibs/xilinx/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dsp.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_deduplicate.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_deduplicate.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_deduplicate.cpp > src/sat/cadical/cadical_deduplicate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3553,7 +3591,9 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_file.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_file.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_file.cpp > src/sat/cadical/cadical_file.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p ./ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_flags.cpp +g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_flags.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_flags.cpp > src/sat/cadical/cadical_flags.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_flip.cpp @@ -3565,8 +3605,6 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_frattracer.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_frattracer.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_frattracer.cpp > src/sat/cadical/cadical_frattracer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p techlibs/xilinx/ -g++ -o techlibs/xilinx/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dsp.cc -> ABC: `` Generating dependency: /src/sat/cadical/cadical_gates.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_gates.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_gates.cpp > src/sat/cadical/cadical_gates.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3576,8 +3614,6 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_instantiate.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_instantiate.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_instantiate.cpp > src/sat/cadical/cadical_instantiate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p ./ -g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Generating dependency: /src/sat/cadical/cadical_internal.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_internal.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_internal.cpp > src/sat/cadical/cadical_internal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3588,16 +3624,16 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_lidruptracer.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_lidruptracer.cpp > src/sat/cadical/cadical_lidruptracer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate --> ABC: `` Generating dependency: /src/sat/cadical/cadical_limit.cpp -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_limit.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_limit.cpp > src/sat/cadical/cadical_limit.d cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p share/quicklogic/qlf_k6n10f -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cadical/cadical_limit.cpp +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_limit.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_limit.cpp > src/sat/cadical/cadical_limit.d cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.52\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_logging.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_logging.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_logging.cpp > src/sat/cadical/cadical_logging.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3612,48 +3648,48 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_lucky.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_lucky.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_lucky.cpp > src/sat/cadical/cadical_lucky.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_message.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_message.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_message.cpp > src/sat/cadical/cadical_message.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_minimize.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_minimize.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_minimize.cpp > src/sat/cadical/cadical_minimize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_occs.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_occs.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_occs.cpp > src/sat/cadical/cadical_occs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_options.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_options.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_options.cpp > src/sat/cadical/cadical_options.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_parse.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_parse.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_parse.cpp > src/sat/cadical/cadical_parse.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_phases.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_phases.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_phases.cpp > src/sat/cadical/cadical_phases.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_probe.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_probe.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_probe.cpp > src/sat/cadical/cadical_probe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_profile.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_profile.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_profile.cpp > src/sat/cadical/cadical_profile.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_proof.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_proof.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_proof.cpp > src/sat/cadical/cadical_proof.d --> ABC: `` Generating dependency: /src/sat/cadical/cadical_propagate.cpp -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_propagate.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_propagate.cpp > src/sat/cadical/cadical_propagate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cadical/cadical_propagate.cpp +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_propagate.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_propagate.cpp > src/sat/cadical/cadical_propagate.d -> ABC: `` Generating dependency: /src/sat/cadical/cadical_queue.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_queue.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_queue.cpp > src/sat/cadical/cadical_queue.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_random.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_random.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_random.cpp > src/sat/cadical/cadical_random.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_reap.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_reap.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_reap.cpp > src/sat/cadical/cadical_reap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_reduce.cpp -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_reduce.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_reduce.cpp > src/sat/cadical/cadical_reduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_reduce.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_reduce.cpp > src/sat/cadical/cadical_reduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_rephase.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_rephase.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_rephase.cpp > src/sat/cadical/cadical_rephase.d @@ -3669,34 +3705,34 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_restore.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_restore.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_restore.cpp > src/sat/cadical/cadical_restore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_score.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_score.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_score.cpp > src/sat/cadical/cadical_score.d --> ABC: `` Generating dependency: /src/sat/cadical/cadical_shrink.cpp -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_shrink.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_shrink.cpp > src/sat/cadical/cadical_shrink.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cadical/cadical_shrink.cpp +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_shrink.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_shrink.cpp > src/sat/cadical/cadical_shrink.d -> ABC: `` Generating dependency: /src/sat/cadical/cadical_signal.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_signal.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_signal.cpp > src/sat/cadical/cadical_signal.d --> ABC: `` Generating dependency: /src/sat/cadical/cadical_solution.cpp -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_solution.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_solution.cpp > src/sat/cadical/cadical_solution.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cadical/cadical_solution.cpp +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_solution.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_solution.cpp > src/sat/cadical/cadical_solution.d -> ABC: `` Generating dependency: /src/sat/cadical/cadical_solver.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_solver.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_solver.cpp > src/sat/cadical/cadical_solver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_stable.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_stable.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_stable.cpp > src/sat/cadical/cadical_stable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_stats.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_stats.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_stats.cpp > src/sat/cadical/cadical_stats.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_subsume.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_subsume.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_subsume.cpp > src/sat/cadical/cadical_subsume.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_sweep.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_sweep.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_sweep.cpp > src/sat/cadical/cadical_sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_terminal.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_terminal.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_terminal.cpp > src/sat/cadical/cadical_terminal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3711,18 +3747,18 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_unstable.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_unstable.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_unstable.cpp > src/sat/cadical/cadical_unstable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_util.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_util.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_util.cpp > src/sat/cadical/cadical_util.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_var.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_var.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_var.cpp > src/sat/cadical/cadical_var.d -> ABC: `` Generating dependency: /src/sat/cadical/cadical_veripbtracer.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_veripbtracer.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_veripbtracer.cpp > src/sat/cadical/cadical_veripbtracer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_version.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_version.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_version.cpp > src/sat/cadical/cadical_version.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_vivify.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_vivify.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_vivify.cpp > src/sat/cadical/cadical_vivify.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3730,281 +3766,282 @@ -> ABC: `` Generating dependency: /src/sat/cadical/cadical_walk.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_walk.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_walk.cpp > src/sat/cadical/cadical_walk.d -> ABC: `` Generating dependency: /src/sat/cadical/cadical_watch.cpp -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_watch.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_watch.cpp > src/sat/cadical/cadical_watch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_watch.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_watch.cpp > src/sat/cadical/cadical_watch.d -> ABC: `` Generating dependency: /src/aig/gia/giaRrr.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaRrr.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaRrr.cpp > src/aig/gia/giaRrr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTransduction.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTransduction.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTransduction.cpp > src/aig/gia/giaTransduction.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTtopt.cpp /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTtopt.cpp` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTtopt.cpp > src/aig/gia/giaTtopt.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c > src/base/abc/abcAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcBarBuf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c > src/base/abc/abcBarBuf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c > src/base/abc/abcBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c > src/base/abc/abcCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcDfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFanio.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcFanio.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c > src/base/abc/abcFanio.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcFanOrder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFunc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcFunc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHie.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcHie.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieCec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcHieCec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c > src/base/abc/abcHieCec.d -> ABC: `` Generating dependency: /src/base/abc/abcHieGia.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcHieGia.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c > src/base/abc/abcHieGia.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieNew.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcHieNew.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c > src/base/abc/abcHieNew.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcLatch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcLatch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcLib.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcMinBase.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcMinBase.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c > src/base/abc/abcMinBase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcNames.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcNames.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c > src/base/abc/abcNames.d -> ABC: `` Generating dependency: /src/base/abc/abcNetlist.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcNetlist.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c > src/base/abc/abcNetlist.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcNtk.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c > src/base/abc/abcNtk.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcObj.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c > src/base/abc/abcObj.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcRefs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcRefs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c > src/base/abc/abcRefs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcShow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcSop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcSop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abc/abcUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c > src/base/abc/abcUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c > src/base/abci/abc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAttach.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcAttach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c > src/base/abci/abcAttach.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAuto.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcAuto.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c > src/base/abci/abcAuto.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBalance.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBalance.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c > src/base/abci/abcBalance.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBidec.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBidec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c > src/base/abci/abcBidec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c > src/base/abci/abcBm.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBmc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c > src/base/abci/abcBmc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCas.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcCas.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c > src/base/abci/abcCas.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCascade.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcCascade.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCollapse.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcCollapse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c > src/base/abci/abcCollapse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c > src/base/abci/abcCut.d -> ABC: `` Generating dependency: /src/base/abci/abcDar.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDar.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c > src/base/abci/abcDar.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDebug.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDebug.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c > src/base/abci/abcDebug.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c > src/base/abci/abcDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcDress.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDetect.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDetect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcDress.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDress.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c > src/base/abci/abcDress.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDetect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDress2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDress2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c > src/base/abci/abcDress2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDress3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDress3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c > src/base/abci/abcDress3.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcEco.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcEco.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d -> ABC: `` Generating dependency: /src/base/abci/abcExact.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcExact.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c > src/base/abci/abcExact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExtract.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcExtract.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFraig.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcExtract.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcFraig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFx.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcFx.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c > src/base/abci/abcFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFxu.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcFxu.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcGen.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c > src/base/abci/abcGen.d --> ABC: `` Generating dependency: /src/base/abci/abcHaig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcHaig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcHaig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d -> ABC: `` Generating dependency: /src/base/abci/abcIf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcIf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIfif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcIfif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c > src/base/abci/abcIfif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIfMux.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcIfMux.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIvy.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcIvy.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLog.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcLog.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c > src/base/abci/abcLog.d +-> ABC: `` Generating dependency: /src/base/abci/abcLut.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c > src/base/abci/abcLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcLut.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c > src/base/abci/abcLut.d -> ABC: `` Generating dependency: /src/base/abci/abcLutmin.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcLutmin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c > src/base/abci/abcLutmin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcLutmin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c > src/base/abci/abcLutmin.d -> ABC: `` Generating dependency: /src/base/abci/abcMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c > src/base/abci/abcMap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMerge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c > src/base/abci/abcMerge.d --> ABC: `` Generating dependency: /src/base/abci/abcMfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcMfs.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d -> ABC: `` Generating dependency: /src/base/abci/abcMini.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMini.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d -> ABC: `` Generating dependency: /src/base/abci/abcMiter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMiter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d --> ABC: `` Generating dependency: /src/base/abci/abcMulti.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcMulti.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcMulti.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c > src/base/abci/abcMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNpn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcNpn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c > src/base/abci/abcNpn.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcNpnSave.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c > src/base/abci/abcNpnSave.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOrchestration.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcOrchestration.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c > src/base/abci/abcOrchestration.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOdc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcOdc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c > src/base/abci/abcOdc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOrder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcOrder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c > src/base/abci/abcOrder.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPart.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d -> ABC: `` Generating dependency: /src/base/abci/abcPrint.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c > src/base/abci/abcPrint.d --> ABC: `` Generating dependency: /src/base/abci/abcProve.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcProve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcProve.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcProve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQbf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcQbf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQuant.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcQuant.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c > src/base/abci/abcQuant.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRec3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRec3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c > src/base/abci/abcRec3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReconv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcReconv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d -> ABC: `` Generating dependency: /src/base/abci/abcReach.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcReach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcReach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRefactor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRefactor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRenode.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRenode.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReorder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcReorder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c > src/base/abci/abcReorder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRestruct.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRestruct.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcResub.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcResub.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c > src/base/abci/abcResub.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRewrite.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRewrite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c > src/base/abci/abcRewrite.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRpo.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRpo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c > src/base/abci/abcRpo.d --> ABC: `` Generating dependency: /src/base/abci/abcRr.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c > src/base/abci/abcRr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcRr.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c > src/base/abci/abcRr.d -> ABC: `` Generating dependency: /src/base/abci/abcRunGen.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcRunGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSat.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSaucy.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSaucy.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c > src/base/abci/abcSaucy.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcScorr.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcScorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSense.c @@ -4013,16 +4050,14 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c > src/base/abci/abcSpeedup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcStrash.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcStrash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c > src/base/abci/abcStrash.d -> ABC: `` Generating dependency: /src/base/abci/abcSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c > src/base/abci/abcSweep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSymm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcSymm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c > src/base/abci/abcSymm.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcTim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4030,33 +4065,35 @@ -> ABC: `` Generating dependency: /src/base/abci/abcTiming.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcTiming.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c > src/base/abci/abcTiming.d -> ABC: `` Generating dependency: /src/base/abci/abcUnate.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcUnate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d --> ABC: `` Generating dependency: /src/base/abci/abcUnreach.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcUnreach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcUnreach.c -> ABC: `` Generating dependency: /src/base/abci/abcVerify.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcUnreach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcVerify.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcXsim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/abci/abcXsim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c > src/base/abci/abcXsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c > src/base/cmd/cmd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdAlias.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d -> ABC: `` Generating dependency: /src/base/cmd/cmdApi.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdAlias.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c > src/base/cmd/cmdApi.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdAuto.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdFlag.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c -> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdFlag.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdHist.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c @@ -4065,193 +4102,192 @@ -> ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdPlugin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c > src/base/cmd/cmdPlugin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdStarter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c > src/base/cmd/cmdStarter.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cmd/cmdUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/io.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/io.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c > src/base/io/io.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioJson.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioJson.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadAiger.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadAiger.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBaf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBaf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c > src/base/io/ioReadBaf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBblif.c --> ABC: `` Generating dependency: /src/base/io/ioReadBench.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBblif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d +-> ABC: `` Generating dependency: /src/base/io/ioReadBench.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBench.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c > src/base/io/ioReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d -> ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d -> ABC: `` Generating dependency: /src/base/io/ioReadDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c > src/base/io/ioReadDsd.d -> ABC: `` Generating dependency: /src/base/io/ioReadEdif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadEdif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c > src/base/io/ioReadEdif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadEqn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPla.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadPla.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c > src/base/io/ioReadPla.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadPlaMo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d -> ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioReadVerilog.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c > src/base/io/ioReadVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c > src/base/io/ioUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteAiger.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBaf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c > src/base/io/ioWriteBaf.d --> ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBblif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c > src/base/io/ioWriteBblif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBench.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBench.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c > src/base/io/ioWriteBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c > src/base/io/ioWriteBlif.d --> ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c > src/base/io/ioWriteBlifMv.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBook.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteBook.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c > src/base/io/ioWriteBook.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteDot.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteDot.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteEqn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEdgelist.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteEdgelist.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c > src/base/io/ioWriteEdgelist.d -> ABC: `` Generating dependency: /src/base/io/ioWriteGml.c --> ABC: `` Generating dependency: /src/base/io/ioWriteHMetis.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteGml.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c > src/base/io/ioWriteGml.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteHMetis.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteHMetis.c > src/base/io/ioWriteHMetis.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioWriteHMetis.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteHMetis.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteHMetis.c > src/base/io/ioWriteHMetis.d -> ABC: `` Generating dependency: /src/base/io/ioWriteList.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d +-> ABC: `` Generating dependency: /src/base/io/ioWritePla.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWritePla.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioWritePla.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWritePla.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d -> ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteVerilog.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c > src/base/io/ioWriteVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/main/main.c +-> ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/io/ioWriteSmv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c > src/base/io/ioWriteSmv.d +-> ABC: `` Generating dependency: /src/base/main/main.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/main.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c > src/base/main/main.d --> ABC: `` Generating dependency: /src/base/main/mainFrame.c --> ABC: `` Generating dependency: /src/base/main/mainInit.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/main/mainFrame.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainFrame.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c > src/base/main/mainFrame.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/main/mainInit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainInit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c > src/base/main/mainInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c > src/base/main/mainLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainReal.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainReal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c > src/base/main/mainReal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/libSupport.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/libSupport.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainUtils.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/main/mainUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c > src/base/main/mainUtils.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exor.c --> ABC: `` Generating dependency: /src/base/exor/exorBits.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorBits.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c > src/base/exor/exorBits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/exor/exorBits.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorBits.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c > src/base/exor/exorBits.d -> ABC: `` Generating dependency: /src/base/exor/exorCubes.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorCubes.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c > src/base/exor/exorCubes.d --> ABC: `` Generating dependency: /src/base/exor/exorLink.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/exor/exorLink.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorLink.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c > src/base/exor/exorLink.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorList.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c > src/base/exor/exorList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/exor/exorUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d -> ABC: `` Generating dependency: /src/base/ver/verCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/ver/verCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verFormula.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/ver/verFormula.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c > src/base/ver/verFormula.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verParse.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/ver/verParse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c > src/base/ver/verParse.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verStream.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/ver/verStream.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c > src/base/ver/verStream.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c > src/base/wlc/wlcAbs.d --> ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c > src/base/wlc/wlcAbs2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c > src/base/wlc/wlcAbs2.d -> ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d -> ABC: `` Generating dependency: /src/base/wlc/wlcPth.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcPth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c > src/base/wlc/wlcPth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcBlast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcBlast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d -> ABC: `` Generating dependency: /src/base/wlc/wlcCom.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c > src/base/wlc/wlcCom.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcGraft.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcJson.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcJson.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c > src/base/wlc/wlcJson.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c > src/base/wlc/wlcMem.d -> ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcNdr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c > src/base/wlc/wlcNdr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c > src/base/wlc/wlcNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c @@ -4267,95 +4303,95 @@ -> ABC: `` Generating dependency: /src/base/wlc/wlcShow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c > src/base/wlc/wlcShow.d -> ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcStdin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c > src/base/wlc/wlcStdin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcUif.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcStdin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c > src/base/wlc/wlcStdin.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcUif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c > src/base/wlc/wlcUif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcWin.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c > src/base/wlc/wlcWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c --> ABC: `` Generating dependency: /src/base/wln/wln.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wlc/wlcWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wln/wln.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wln.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c > src/base/wln/wln.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnBlast.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnBlast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c > src/base/wln/wlnBlast.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnCom.c --> ABC: `` Generating dependency: /src/base/wln/wlnGuide.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnBlast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c > src/base/wln/wlnBlast.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c > src/base/wln/wlnCom.d +-> ABC: `` Generating dependency: /src/base/wln/wlnGuide.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnGuide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c > src/base/wln/wlnGuide.d -> ABC: `` Generating dependency: /src/base/wln/wlnMem.c --> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnNdr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnNdr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d -> ABC: `` Generating dependency: /src/base/wln/wlnNtk.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnObj.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c > src/base/wln/wlnObj.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRead.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c > src/base/wln/wlnRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRetime.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnRetime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c > src/base/wln/wlnRetime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRtl.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnRtl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c > src/base/wln/wlnRtl.d -> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnWlc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c > src/base/wln/wlnWlc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/wln/wlnWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d -> ABC: `` Generating dependency: /src/base/acb/acbAbc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c > src/base/acb/acbAbc.d -> ABC: `` Generating dependency: /src/base/acb/acbAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/acb/acbCom.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/acb/acbCom.c -> ABC: `` Generating dependency: /src/base/acb/acbFunc.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbFunc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c > src/base/acb/acbFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbMfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbMfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c > src/base/acb/acbMfs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbPush.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbPush.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c > src/base/acb/acbPush.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbSets.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbSets.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c > src/base/acb/acbSets.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbTest.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbTest.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c > src/base/acb/acbTest.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/acb/acbUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c > src/base/acb/acbUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacBlast.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacBlast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c > src/base/bac/bacBlast.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacBac.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacBac.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacCom.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c > src/base/bac/bacLib.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacNtk.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4369,23 +4405,22 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacPtr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c > src/base/bac/bacPtr.d -> ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacPtrAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c > src/base/bac/bacPtrAbc.d --> ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d +-> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadSmt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d -> ABC: `` Generating dependency: /src/base/bac/bacReadVer.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacReadVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d -> ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c > src/base/bac/bacWriteBlif.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacWriteSmt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/bac/bacWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c > src/base/bac/bacWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4397,102 +4432,102 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaCba.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d -> ABC: `` Generating dependency: /src/base/cba/cbaCom.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d --> ABC: `` Generating dependency: /src/base/cba/cbaNtk.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/cba/cbaNtk.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d -> ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c > src/base/cba/cbaReadBlif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaReadVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c > src/base/cba/cbaReadVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaReadVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c > src/base/cba/cbaReadVer.d -> ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c > src/base/cba/cbaWriteBlif.d -> ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/cba/cbaWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c > src/base/cba/cbaWriteVer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaCom.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c > src/base/pla/plaCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/pla/plaHash.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/pla/plaHash.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c > src/base/pla/plaCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaHash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d -> ABC: `` Generating dependency: /src/base/pla/plaMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c > src/base/pla/plaMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaMerge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c > src/base/pla/plaMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaSimple.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaSimple.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c > src/base/pla/plaSimple.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaRead.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaSimple.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c > src/base/pla/plaSimple.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c > src/base/pla/plaRead.d -> ABC: `` Generating dependency: /src/base/pla/plaWrite.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/pla/plaWrite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d --> ABC: `` Generating dependency: /src/base/test/test.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/test/test.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c > src/base/test/test.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/test/test.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/base/test/test.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c > src/base/test/test.d -> ABC: `` Generating dependency: /src/map/mapper/mapper.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c > src/map/mapper/mapper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c --> ABC: `` Generating dependency: /src/map/mapper/mapperCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c > src/map/mapper/mapperCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mapper/mapperCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c > src/map/mapper/mapperCore.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCreate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c > src/map/mapper/mapperCreate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c > src/map/mapper/mapperCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c --> ABC: `` Generating dependency: /src/map/mapper/mapperLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperCutUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c > src/map/mapper/mapperCutUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mapper/mapperLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c > src/map/mapper/mapperLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperMatch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c > src/map/mapper/mapperMatch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperRefs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c > src/map/mapper/mapperRefs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperSuper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c > src/map/mapper/mapperSuper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c > src/map/mapper/mapperSwitch.d -> ABC: `` Generating dependency: /src/map/mapper/mapperTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c > src/map/mapper/mapperTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTime.c --> ABC: `` Generating dependency: /src/map/mapper/mapperTree.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c > src/map/mapper/mapperTime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mapper/mapperTree.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c > src/map/mapper/mapperTree.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c > src/map/mapper/mapperTruth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c > src/map/mapper/mapperUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperVec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mapper/mapperVec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c > src/map/mapper/mapperVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mio.c +-> ABC: `` Generating dependency: /src/map/mio/mioApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mio.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c > src/map/mio/mio.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/mio/mioApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d -> ABC: `` Generating dependency: /src/map/mio/mioFunc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioFunc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c > src/map/mio/mioFunc.d @@ -4503,26 +4538,26 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioRead.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c > src/map/mio/mioRead.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioSop.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioSop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c > src/map/mio/mioSop.d -> ABC: `` Generating dependency: /src/map/mio/mioUtils.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioSop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c > src/map/mio/mioSop.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mio/mioUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/super.c --> ABC: `` Generating dependency: /src/map/super/superAnd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/super/super.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c > src/map/super/super.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/super/superAnd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/super/superAnd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c > src/map/super/superAnd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/superGate.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/super/superGate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c > src/map/super/superGate.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCom.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifCom.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d -> ABC: `` Generating dependency: /src/map/if/ifCache.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifCache.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c > src/map/if/ifCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4532,15 +4567,15 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifData2.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifData2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c > src/map/if/ifData2.d -> ABC: `` Generating dependency: /src/map/if/ifDec07.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec07.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec08.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec08.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c > src/map/if/ifDec08.d --> ABC: `` Generating dependency: /src/map/if/ifDec10.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifDec10.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec10.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c > src/map/if/ifDec10.d -> ABC: `` Generating dependency: /src/map/if/ifDec16.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec16.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d @@ -4549,38 +4584,40 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec66.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec66.c > src/map/if/ifDec66.d -> ABC: `` Generating dependency: /src/map/if/ifDec75.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDec75.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d --> ABC: `` Generating dependency: /src/map/if/ifDelay.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDelay.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c > src/map/if/ifDelay.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifDelay.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDelay.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c > src/map/if/ifDelay.d -> ABC: `` Generating dependency: /src/map/if/ifDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifLibBox.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifLibBox.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c > src/map/if/ifLibBox.d -> ABC: `` Generating dependency: /src/map/if/ifLibLut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifLibLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d -> ABC: `` Generating dependency: /src/map/if/ifMan.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d -> ABC: `` Generating dependency: /src/map/if/ifMatch2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifMatch2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d --> ABC: `` Generating dependency: /src/map/if/ifReduce.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifReduce.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifReduce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d --> ABC: `` Generating dependency: /src/map/if/ifSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c > src/map/if/ifSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSelect.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifSelect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSeq.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d -> ABC: `` Generating dependency: /src/map/if/ifTest.c @@ -4588,438 +4625,436 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTime.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c > src/map/if/ifTime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c > src/map/if/ifTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTune.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifTune.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c > src/map/if/ifTune.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c > src/map/if/ifUtil.d -> ABC: `` Generating dependency: /src/map/amap/amapCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/if/ifUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c > src/map/if/ifUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d -> ABC: `` Generating dependency: /src/map/amap/amapGraph.c --> ABC: `` Generating dependency: /src/map/amap/amapLib.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapGraph.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapGraph.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d +-> ABC: `` Generating dependency: /src/map/amap/amapLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c > src/map/amap/amapLib.d -> ABC: `` Generating dependency: /src/map/amap/amapLiberty.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapLiberty.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapLiberty.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d -> ABC: `` Generating dependency: /src/map/amap/amapMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c > src/map/amap/amapMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapMatch.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapMatch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c > src/map/amap/amapMatch.d -> ABC: `` Generating dependency: /src/map/amap/amapMerge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d --> ABC: `` Generating dependency: /src/map/amap/amapOutput.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapOutput.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/amap/amapOutput.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapOutput.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d -> ABC: `` Generating dependency: /src/map/amap/amapParse.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapParse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapPerm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapPerm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c > src/map/amap/amapPerm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRead.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRule.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapRule.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c > src/map/amap/amapRule.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapUniq.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/amap/amapUniq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c > src/map/amap/amapUniq.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covBuild.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covBuild.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c > src/map/cov/covBuild.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c > src/map/cov/covCore.d -> ABC: `` Generating dependency: /src/map/cov/covMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d -> ABC: `` Generating dependency: /src/map/cov/covMinEsop.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMinEsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMinEsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMinMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c > src/map/cov/covMinMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinSop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMinSop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c > src/map/cov/covMinSop.d -> ABC: `` Generating dependency: /src/map/cov/covMinUtil.c --> ABC: `` Generating dependency: /src/map/scl/scl.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/cov/covMinUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c > src/map/cov/covMinUtil.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/scl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d --> ABC: `` Generating dependency: /src/map/scl/sclBuffer.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclBuffer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c > src/map/scl/sclBuffer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/scl/scl.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/scl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/scl/sclBuffer.c -> ABC: `` Generating dependency: /src/map/scl/sclBufSize.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclBuffer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c > src/map/scl/sclBuffer.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclBufSize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclDnsize.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclDnsize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c > src/map/scl/sclDnsize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclDnsize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c > src/map/scl/sclDnsize.d -> ABC: `` Generating dependency: /src/map/scl/sclLiberty.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclLiberty.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c > src/map/scl/sclLiberty.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLibScl.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclLibScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c > src/map/scl/sclLibScl.d -> ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclLibUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c > src/map/scl/sclLibUtil.d -> ABC: `` Generating dependency: /src/map/scl/sclLoad.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclLoad.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c > src/map/scl/sclLoad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclSize.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclSize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c > src/map/scl/sclSize.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclUpsize.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclUpsize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c > src/map/scl/sclUpsize.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/scl/sclUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c > src/map/mpm/mpmAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmCore.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c > src/map/mpm/mpmCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmGates.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmGates.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d -> ABC: `` Generating dependency: /src/map/mpm/mpmLib.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmGates.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c > src/map/mpm/mpmMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c > src/map/mpm/mpmMap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmMig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmPre.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmPre.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c > src/map/mpm/mpmPre.d --> ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d --> ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d --> ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d +-> ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/map/mpm/mpmUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c --> ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCube.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c --> ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilFile.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMacc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d --> ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMaj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c > src/misc/extra/extraUtilMaj.d --> ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMemory.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c > src/misc/extra/extraUtilMemory.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMisc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c --> ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c > src/misc/extra/extraUtilMult.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilPath.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c > src/misc/extra/extraUtilPath.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilPerm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilProgress.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d --> ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilReader.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilReader.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d --> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c > src/misc/mvc/mvcApi.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcCompare.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCompare.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c > src/misc/mvc/mvcCompare.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcContain.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c > src/misc/mvc/mvcContain.d --> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcContain.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c > src/misc/mvc/mvcContain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCover.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCube.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c --> ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcDivide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c > src/misc/mvc/mvcDivide.d +-> ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcDivisor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c > src/misc/mvc/mvcDivisor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcList.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcLits.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpBool.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mvc/mvcUtils.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c > src/misc/mvc/mvcUtils.d -> ABC: `` Generating dependency: /src/misc/st/st.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/st/st.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c > src/misc/st/st.d -> ABC: `` Generating dependency: /src/misc/st/stmm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/st/stmm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c > src/misc/st/stmm.d +-> ABC: `` Generating dependency: /src/misc/util/utilBridge.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/util/utilBridge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilBridge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilBSet.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilBSet.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBSet.c > src/misc/util/utilBSet.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilCex.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilCex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c > src/misc/util/utilCex.d -> ABC: `` Generating dependency: /src/misc/util/utilColor.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilColor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilFile.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilColor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilFile.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilIsop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilIsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilNam.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilNam.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d -> ABC: `` Generating dependency: /src/misc/util/utilPth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilPth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilPth.c > src/misc/util/utilPth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilSignal.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilSignal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d -> ABC: `` Generating dependency: /src/misc/util/utilSort.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/util/utilSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/nm/nmApi.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/nm/nmApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/nm/nmTable.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/nm/nmTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timBox.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timBox.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c > src/misc/tim/timBox.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timDump.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timDump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d -> ABC: `` Generating dependency: /src/misc/tim/timTime.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timTrav.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/tim/timTrav.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d -> ABC: `` Generating dependency: /src/misc/mem/mem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/mem/mem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/bar/bar.c --> ABC: `` Generating dependency: /src/misc/bbl/bblif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/bar/bar.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c > src/misc/bar/bar.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/bbl/bblif.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/bbl/bblif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/parse/parseEqn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/parse/parseEqn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c > src/misc/parse/parseEqn.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/parse/parseStack.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/misc/parse/parseStack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c > src/misc/parse/parseStack.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutApi.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c > src/opt/cut/cutApi.d -> ABC: `` Generating dependency: /src/opt/cut/cutCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c > src/opt/cut/cutCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c > src/opt/cut/cutMan.d -> ABC: `` Generating dependency: /src/opt/cut/cutMerge.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c > src/opt/cut/cutMerge.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c > src/opt/cut/cutMan.d -> ABC: `` Generating dependency: /src/opt/cut/cutNode.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c > src/opt/cut/cutMerge.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutNode.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c > src/opt/cut/cutNode.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutOracle.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutOracle.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c > src/opt/cut/cutOracle.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutPre22.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutPre22.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c > src/opt/cut/cutPre22.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutSeq.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c > src/opt/cut/cutSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cut/cutTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c > src/opt/cut/cutTruth.d -> ABC: `` Generating dependency: /src/opt/fxu/fxu.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxu.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c > src/opt/fxu/fxu.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuCreate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c > src/opt/fxu/fxuCreate.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapD.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c > src/opt/fxu/fxuHeapD.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapS.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuList.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuList.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuMatrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuPair.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c > src/opt/fxu/fxuPair.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c > src/opt/fxu/fxuPrint.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuReduce.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuReduce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c > src/opt/fxu/fxuReduce.d --> ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSelect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c -> ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSelect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSingle.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuUpdate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxu/fxuUpdate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d -> ABC: `` Generating dependency: /src/opt/fxch/Fxch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxch/Fxch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c > src/opt/fxch/Fxch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxch/FxchDiv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d -> ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxch/FxchDiv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxch/FxchMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c > src/opt/fxch/FxchMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fxch/FxchSCHashTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c > src/opt/fxch/FxchSCHashTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrEva.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c > src/opt/rwr/rwrEva.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrExp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c > src/opt/rwr/rwrPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwr/rwrUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c > src/opt/mfs/mfsCore.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsDiv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsResub.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c > src/opt/mfs/mfsResub.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsStrash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/mfs/mfsWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5028,22 +5063,24 @@ -> ABC: `` Generating dependency: /src/opt/sim/simSeq.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d -> ABC: `` Generating dependency: /src/opt/sim/simSupp.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSupp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSupp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d -> ABC: `` Generating dependency: /src/opt/sim/simSwitch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c > src/opt/sim/simSwitch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSym.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSym.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c > src/opt/sim/simSym.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymSat.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSymSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c > src/opt/sim/simSymSat.d -> ABC: `` Generating dependency: /src/opt/sim/simSymSim.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSymSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymStr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sim/simSymStr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simUtils.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5057,22 +5094,23 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retDelay.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c > src/opt/ret/retDelay.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retFlow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retFlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c > src/opt/ret/retFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retIncrem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retIncrem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retInit.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retInit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c > src/opt/ret/retInit.d -> ABC: `` Generating dependency: /src/opt/ret/retLvalue.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/ret/retLvalue.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c > src/opt/ret/retLvalue.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretMain.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretMain.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretFlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d -> ABC: `` Generating dependency: /src/opt/fret/fretInit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretInit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d @@ -5080,131 +5118,129 @@ -> ABC: `` Generating dependency: /src/opt/fret/fretTime.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/fret/fretTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d -> ABC: `` Generating dependency: /src/opt/res/resDivs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resDivs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resFilter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resFilter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d -> ABC: `` Generating dependency: /src/opt/res/resSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resSim.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resStrash.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resStrash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d --> ABC: `` Generating dependency: /src/opt/res/resWin.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resStrash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/res/resWin.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/res/resWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c --> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d +-> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c > src/opt/lpk/lpkAbcUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c > src/opt/lpk/lpkCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c > src/opt/lpk/lpkMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c > src/opt/lpk/lpkMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMulti.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c > src/opt/lpk/lpkMulti.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMux.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c > src/opt/lpk/lpkMux.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/lpk/lpkSets.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c > src/opt/lpk/lpkSets.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c > src/opt/nwk/nwkAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c > src/opt/nwk/nwkCheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkBidec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c > src/opt/nwk/nwkBidec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkBidec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c > src/opt/nwk/nwkBidec.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c > src/opt/nwk/nwkDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkFanio.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c > src/opt/nwk/nwkFanio.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkFlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c > src/opt/nwk/nwkFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c > src/opt/nwk/nwkMan.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c > src/opt/nwk/nwkMan.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c > src/opt/nwk/nwkMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c > src/opt/nwk/nwkSpeedup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkStrash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c > src/opt/nwk/nwkStrash.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkTiming.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c > src/opt/nwk/nwkTiming.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/nwk/nwkUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d -> ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwt/rwtDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwt/rwtMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rwt/rwtUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c > src/opt/rwt/rwtUtil.d -> ABC: `` Generating dependency: /src/opt/rar/rewire_rng.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rng.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rng.c > src/opt/rar/rewire_rng.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rar/rewire_map.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rng.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rng.c > src/opt/rar/rewire_rng.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rar/rewire_map.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_map.c > src/opt/rar/rewire_map.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rar/rewire_rar.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rar.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rar.c > src/opt/rar/rewire_rar.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d --> ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c > src/opt/cgt/cgtCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtDecide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c -> ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/cgt/cgtMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c > src/opt/cgt/cgtMan.d @@ -5212,75 +5248,76 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c > src/opt/csw/cswCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswMan.c --> ABC: `` Generating dependency: /src/opt/csw/cswTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c > src/opt/csw/cswMan.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c > src/opt/csw/cswTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/csw/cswTable.c -> ABC: `` Generating dependency: /src/opt/dar/darBalance.c --> ABC: `` Generating dependency: /src/opt/dar/darCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/csw/cswTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c > src/opt/csw/cswTable.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darBalance.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c > src/opt/dar/darBalance.d +-> ABC: `` Generating dependency: /src/opt/dar/darCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c > src/opt/dar/darCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c > src/opt/dar/darCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darData.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darData.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c > src/opt/dar/darData.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c > src/opt/dar/darLib.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c > src/opt/dar/darMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darPrec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darPrec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c > src/opt/dar/darPrec.d -> ABC: `` Generating dependency: /src/opt/dar/darRefact.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darRefact.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c > src/opt/dar/darRefact.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darScript.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dar/darScript.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c > src/opt/dar/darScript.d --> ABC: `` Generating dependency: /src/opt/dau/dauCanon.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dau/dauCanon.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauCore.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c > src/opt/dau/dauCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauCount.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauCount.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c > src/opt/dau/dauCount.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauDivs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauDivs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c > src/opt/dau/dauDivs.d --> ABC: `` Generating dependency: /src/opt/dau/dauDsd.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dau/dauDsd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c > src/opt/dau/dauDsd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauEnum.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauEnum.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c > src/opt/dau/dauEnum.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauGia.c +-> ABC: `` Generating dependency: /src/opt/dau/dauMerge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauGia.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c > src/opt/dau/dauMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/dau/dauMerge.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauMerge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c > src/opt/dau/dauMerge.d -> ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauNonDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d -> ABC: `` Generating dependency: /src/opt/dau/dauNpn.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauNonDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauNpn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c > src/opt/dau/dauNpn.d -> ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauNpn2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c > src/opt/dau/dauNpn2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauTree.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dau/dauTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5288,270 +5325,269 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/dsc/dsc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c > src/opt/dsc/dsc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmArea.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c > src/opt/sfm/sfmArea.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c > src/opt/sfm/sfmCnf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c > src/opt/sfm/sfmCore.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c +-> ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c > src/opt/sfm/sfmDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmLib.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c > src/opt/sfm/sfmLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmNtk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c > src/opt/sfm/sfmNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c > src/opt/sfm/sfmSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmTim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c > src/opt/sfm/sfmTim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c +-> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmMit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c > src/opt/sfm/sfmMit.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c -> ABC: `` Generating dependency: /src/opt/sbd/sbd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sfm/sfmWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c > src/opt/sbd/sbd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c > src/opt/sbd/sbdCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c -> ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c > src/opt/sbd/sbdCnf.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c > src/opt/sbd/sbdCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c > src/opt/sbd/sbdCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c > src/opt/sbd/sbdLut.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c > src/opt/sbd/sbdLut.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdPath.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c > src/opt/sbd/sbdPath.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/opt/sbd/sbdWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c > src/opt/sbd/sbdWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bsat/satMem.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c > src/sat/bsat/satMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bsat/satMem.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c > src/sat/bsat/satMem.d -> ABC: `` Generating dependency: /src/sat/bsat/satInter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c > src/sat/bsat/satInter.d --> ABC: `` Generating dependency: /src/sat/bsat/satInterA.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInterA.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c > src/sat/bsat/satInterA.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bsat/satInterA.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInterA.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c > src/sat/bsat/satInterA.d -> ABC: `` Generating dependency: /src/sat/bsat/satInterB.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInterB.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c > src/sat/bsat/satInterB.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInterP.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInterB.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c > src/sat/bsat/satInterB.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satInterP.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c > src/sat/bsat/satInterP.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satProof.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satProof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c > src/sat/bsat/satProof.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c > src/sat/bsat/satSolver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c > src/sat/bsat/satSolver2.d -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver2i.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c > src/sat/bsat/satSolver2i.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver2i.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c > src/sat/bsat/satSolver2i.d -> ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c > src/sat/bsat/satSolver3.d -> ABC: `` Generating dependency: /src/sat/bsat/satStore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satStore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c > src/sat/bsat/satStore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satTrace.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satTrace.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c > src/sat/bsat/satTrace.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c > src/sat/bsat/satTruth.d --> ABC: `` Generating dependency: /src/sat/bsat/satUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bsat/satUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bsat/satUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/xsat/xsatSolver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c > src/sat/xsat/xsatSolver.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/xsat/xsatSolverAPI.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c > src/sat/xsat/xsatSolverAPI.d -> ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c --> ABC: `` Generating dependency: /src/sat/satoko/solver.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d +-> ABC: `` Generating dependency: /src/sat/satoko/solver.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/satoko/solver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c > src/sat/satoko/solver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/satoko/solver_api.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/satoko/solver_api.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/satoko/cnf_reader.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c > src/sat/satoko/cnf_reader.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/csat/csat_apis.c --> ABC: `` Generating dependency: /src/sat/msat/msatActivity.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/csat/csat_apis.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/msat/msatActivity.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatActivity.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c > src/sat/msat/msatActivity.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatClause.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatClause.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c > src/sat/msat/msatClause.d -> ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatClauseVec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c > src/sat/msat/msatClauseVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c > src/sat/msat/msatMem.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatOrderH.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c > src/sat/msat/msatOrderH.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatQueue.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatQueue.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c > src/sat/msat/msatQueue.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatRead.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c -> ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c > src/sat/msat/msatSolverCore.d -> ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverIo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c > src/sat/msat/msatSolverIo.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverSearch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c > src/sat/msat/msatSolverSearch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSort.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d -> ABC: `` Generating dependency: /src/sat/msat/msatVec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/msat/msatVec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c > src/sat/msat/msatVec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c > src/sat/cnf/cnfCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c > src/sat/cnf/cnfCut.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfData.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfData.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c > src/sat/cnf/cnfData.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfFast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c > src/sat/cnf/cnfMan.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfPost.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c > src/sat/cnf/cnfPost.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d --> ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfWrite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c > src/sat/cnf/cnfWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cnf/cnfWrite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c > src/sat/cnf/cnfWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c > src/sat/bmc/bmcBCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c > src/sat/bmc/bmcBCore.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c > src/sat/bmc/bmcBmc.d --> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c > src/sat/bmc/bmcBmc2.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c > src/sat/bmc/bmcBmc3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmci.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c > src/sat/bmc/bmcBmci.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcG.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcS.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d --> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCare.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCare.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c > src/sat/bmc/bmcCexCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d --> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c > src/sat/bmc/bmcCexMin2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexTools.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c > src/sat/bmc/bmcCexTools.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcChain.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c > src/sat/bmc/bmcChain.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcClp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c > src/sat/bmc/bmcClp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcEco.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c > src/sat/bmc/bmcEco.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcExpand.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c > src/sat/bmc/bmcExpand.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFault.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c > src/sat/bmc/bmcFault.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFx.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c > src/sat/bmc/bmcFx.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c > src/sat/bmc/bmcGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcICheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c > src/sat/bmc/bmcICheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcInse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c > src/sat/bmc/bmcInse.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcLoad.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c --> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c > src/sat/bmc/bmcMaj2.d +-> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c > src/sat/bmc/bmcMaj2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c @@ -5560,69 +5596,70 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaxi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c > src/sat/bmc/bmcMaxi.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c > src/sat/bmc/bmcMesh2.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c > src/sat/bmc/bmcMesh2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMulti.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c > src/sat/bmc/bmcMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/bmc/bmcUnroll.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c > src/sat/bmc/bmcUnroll.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kissatSolver.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kissatSolver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatSolver.c > src/sat/kissat/kissatSolver.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/kissatTest.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kissatTest.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatTest.c > src/sat/kissat/kissatTest.d -> ABC: `` Generating dependency: /src/sat/kissat/allocate.c +-> ABC: `` Generating dependency: /src/sat/kissat/kissatTest.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/allocate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/allocate.c > src/sat/kissat/allocate.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kissatTest.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatTest.c > src/sat/kissat/kissatTest.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/analyze.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/analyze.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/analyze.c > src/sat/kissat/analyze.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/ands.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/ands.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c > src/sat/kissat/ands.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/arena.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/arena.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c > src/sat/kissat/arena.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/assign.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/assign.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c > src/sat/kissat/assign.d --> ABC: `` Generating dependency: /src/sat/kissat/averages.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/averages.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/averages.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c > src/sat/kissat/averages.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/backbone.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/backbone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c > src/sat/kissat/backbone.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/backtrack.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/backtrack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c > src/sat/kissat/backtrack.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/build.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/backtrack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c > src/sat/kissat/backtrack.d +-> ABC: `` Generating dependency: /src/sat/kissat/build.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/build.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c > src/sat/kissat/build.d -> ABC: `` Generating dependency: /src/sat/kissat/bump.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/bump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c > src/sat/kissat/bump.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/check.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/bump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c > src/sat/kissat/bump.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/check.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c > src/sat/kissat/check.d -> ABC: `` Generating dependency: /src/sat/kissat/classify.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/classify.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c > src/sat/kissat/classify.d --> ABC: `` Generating dependency: /src/sat/kissat/clause.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/clause.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/clause.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c > src/sat/kissat/clause.d --> ABC: `` Generating dependency: /src/sat/kissat/collect.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/collect.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/collect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c > src/sat/kissat/collect.d -> ABC: `` Generating dependency: /src/sat/kissat/colors.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/colors.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c > src/sat/kissat/colors.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/compact.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/compact.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c > src/sat/kissat/compact.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/config.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/config.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c > src/sat/kissat/config.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5632,23 +5669,22 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/congruence.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c > src/sat/kissat/congruence.d -> ABC: `` Generating dependency: /src/sat/kissat/decide.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/decide.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c > src/sat/kissat/decide.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/deduce.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/deduce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c > src/sat/kissat/deduce.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/definition.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/definition.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c > src/sat/kissat/definition.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/dense.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/dense.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c > src/sat/kissat/dense.d -> ABC: `` Generating dependency: /src/sat/kissat/dump.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/dump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c > src/sat/kissat/dump.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/dump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c > src/sat/kissat/dump.d -> ABC: `` Generating dependency: /src/sat/kissat/eliminate.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/eliminate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c > src/sat/kissat/eliminate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/eliminate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c > src/sat/kissat/eliminate.d -> ABC: `` Generating dependency: /src/sat/kissat/equivalences.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/equivalences.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c > src/sat/kissat/equivalences.d -> ABC: `` Generating dependency: /src/sat/kissat/error.c @@ -5660,25 +5696,26 @@ -> ABC: `` Generating dependency: /src/sat/kissat/factor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/factor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c > src/sat/kissat/factor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/fastel.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/fastel.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c > src/sat/kissat/fastel.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/file.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/file.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c > src/sat/kissat/file.d -> ABC: `` Generating dependency: /src/sat/kissat/flags.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/flags.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c > src/sat/kissat/flags.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/format.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/format.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/format.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c > src/sat/kissat/format.d -> ABC: `` Generating dependency: /src/sat/kissat/forward.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/forward.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c > src/sat/kissat/forward.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/gates.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/gates.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c > src/sat/kissat/gates.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/heap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/heap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c > src/sat/kissat/heap.d -> ABC: `` Generating dependency: /src/sat/kissat/ifthenelse.c @@ -5686,95 +5723,93 @@ -> ABC: `` Generating dependency: /src/sat/kissat/import.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/import.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c > src/sat/kissat/import.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/internal.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/internal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c > src/sat/kissat/internal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kimits.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kimits.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c > src/sat/kissat/kimits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kitten.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kitten.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c > src/sat/kissat/kitten.d -> ABC: `` Generating dependency: /src/sat/kissat/krite.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/krite.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c > src/sat/kissat/krite.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/learn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/learn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c > src/sat/kissat/learn.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/logging.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/logging.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/logging.c > src/sat/kissat/logging.d -> ABC: `` Generating dependency: /src/sat/kissat/kucky.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kucky.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c > src/sat/kissat/kucky.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/minimize.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/minimize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c > src/sat/kissat/minimize.d --> ABC: `` Generating dependency: /src/sat/kissat/mode.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/mode.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/mode.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c > src/sat/kissat/mode.d -> ABC: `` Generating dependency: /src/sat/kissat/kptions.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/kptions.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kptions.c > src/sat/kissat/kptions.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/phases.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/phases.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c > src/sat/kissat/phases.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/preprocess.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/preprocess.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c > src/sat/kissat/preprocess.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/preprocess.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/preprocess.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c > src/sat/kissat/preprocess.d -> ABC: `` Generating dependency: /src/sat/kissat/print.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/print.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c > src/sat/kissat/print.d -> ABC: `` Generating dependency: /src/sat/kissat/probe.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/probe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c > src/sat/kissat/probe.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/profile.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/profile.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c > src/sat/kissat/profile.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/probe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c > src/sat/kissat/probe.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/promote.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/promote.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c > src/sat/kissat/promote.d -> ABC: `` Generating dependency: /src/sat/kissat/proof.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/proof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proof.c > src/sat/kissat/proof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/kissat/propbeyond.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/propbeyond.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propbeyond.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c > src/sat/kissat/propbeyond.d -> ABC: `` Generating dependency: /src/sat/kissat/propdense.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propdense.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c > src/sat/kissat/propdense.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/propinitially.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propinitially.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c > src/sat/kissat/propinitially.d -> ABC: `` Generating dependency: /src/sat/kissat/proprobe.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/proprobe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c > src/sat/kissat/proprobe.d -> ABC: `` Generating dependency: /src/sat/kissat/propsearch.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/proprobe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c > src/sat/kissat/proprobe.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/propsearch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c > src/sat/kissat/propsearch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/queue.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/queue.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c > src/sat/kissat/queue.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reduce.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/reduce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c > src/sat/kissat/reduce.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reluctant.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/reluctant.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c > src/sat/kissat/reluctant.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reorder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/reorder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c > src/sat/kissat/reorder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/rephase.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/rephase.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c > src/sat/kissat/rephase.d -> ABC: `` Generating dependency: /src/sat/kissat/report.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/report.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c > src/sat/kissat/report.d --> ABC: `` Generating dependency: /src/sat/kissat/resize.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/resize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c > src/sat/kissat/resize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/kissat/resize.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/resize.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c > src/sat/kissat/resize.d -> ABC: `` Generating dependency: /src/sat/kissat/resolve.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/resolve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c > src/sat/kissat/resolve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5783,58 +5818,59 @@ -> ABC: `` Generating dependency: /src/sat/kissat/restart.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/restart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c > src/sat/kissat/restart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/search.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/search.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c > src/sat/kissat/search.d -> ABC: `` Generating dependency: /src/sat/kissat/shrink.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/shrink.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c > src/sat/kissat/shrink.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/smooth.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/smooth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c > src/sat/kissat/smooth.d -> ABC: `` Generating dependency: /src/sat/kissat/sort.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/sort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c > src/sat/kissat/sort.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/stack.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/stack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c > src/sat/kissat/stack.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/statistics.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/statistics.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/statistics.c > src/sat/kissat/statistics.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/strengthen.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/strengthen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c > src/sat/kissat/strengthen.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/substitute.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/substitute.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c > src/sat/kissat/substitute.d -> ABC: `` Generating dependency: /src/sat/kissat/sweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/sweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c > src/sat/kissat/sweep.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/terminate.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/terminate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c > src/sat/kissat/terminate.d -> ABC: `` Generating dependency: /src/sat/kissat/tiers.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/tiers.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c > src/sat/kissat/tiers.d -> ABC: `` Generating dependency: /src/sat/kissat/trail.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/trail.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c > src/sat/kissat/trail.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/transitive.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/transitive.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c > src/sat/kissat/transitive.d -> ABC: `` Generating dependency: /src/sat/kissat/utilities.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/utilities.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c > src/sat/kissat/utilities.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/vector.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/vector.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c > src/sat/kissat/vector.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/vivify.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/vector.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c > src/sat/kissat/vector.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/vivify.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c > src/sat/kissat/vivify.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/walk.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/walk.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c > src/sat/kissat/walk.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/warmup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/warmup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c > src/sat/kissat/warmup.d -> ABC: `` Generating dependency: /src/sat/kissat/watch.c @@ -5842,245 +5878,245 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/weaken.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/kissat/weaken.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c > src/sat/kissat/weaken.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cadical/cadicalSolver.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadicalSolver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalSolver.c > src/sat/cadical/cadicalSolver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/cadical/cadicalTest.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/cadical/cadicalTest.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadicalTest.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalTest.c > src/sat/cadical/cadicalTest.d -> ABC: `` Generating dependency: /src/sat/cadical/cadical_kitten.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/sat/cadical/cadical_kitten.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadical_kitten.c > src/sat/cadical/cadical_kitten.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c > src/bool/bdc/bdcCore.d -> ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c > src/bool/bdc/bdcDec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcSpfd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c > src/bool/bdc/bdcSpfd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/bdc/bdcTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d --> ABC: `` Generating dependency: /src/bool/dec/decAbc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/dec/decAbc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decAbc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c > src/bool/dec/decAbc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/dec/decFactor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decFactor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c > src/bool/dec/decFactor.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/dec/decMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c > src/bool/dec/decMan.d -> ABC: `` Generating dependency: /src/bool/dec/decPrint.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decPrint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c > src/bool/dec/decPrint.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/dec/decUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c > src/bool/dec/decUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitAig.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/dec/decUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c > src/bool/dec/decUtil.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c > src/bool/kit/kitAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitBdd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitBdd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d -> ABC: `` Generating dependency: /src/bool/kit/kitCloud.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitCloud.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/cloud.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitCloud.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/cloud.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c > src/bool/kit/cloud.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitDsd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitFactor.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitFactor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c > src/bool/kit/kitFactor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitGraph.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitGraph.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c > src/bool/kit/kitGraph.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitHop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitHop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c > src/bool/kit/kitHop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bool/kit/kitIsop.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/kit/kitIsop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitIsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c > src/bool/kit/kitIsop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitPla.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitPla.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c > src/bool/kit/kitPla.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitSop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitSop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c > src/bool/kit/kitSop.d -> ABC: `` Generating dependency: /src/bool/kit/kitTruth.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/kit/kitTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bool/lucky/lucky.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/lucky.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c > src/bool/lucky/lucky.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/lucky/lucky.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/lucky.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c > src/bool/lucky/lucky.d -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast16.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c > src/bool/lucky/luckyFast16.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast16.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c > src/bool/lucky/luckyFast16.d -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c > src/bool/lucky/luckyFast6.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckyRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c > src/bool/lucky/luckyRead.d --> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c +-> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckySimple.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d +-> ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckySwapIJ.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c > src/bool/lucky/luckySwapIJ.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c --> ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/lucky/luckySwap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c > src/bool/lucky/luckySwap.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/rsb/rsbDec6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c > src/bool/rsb/rsbDec6.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/rsb/rsbDec6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c > src/bool/rsb/rsbDec6.d -> ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/rsb/rsbMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c > src/bool/rsb/rsbMan.d -> ABC: `` Generating dependency: /src/bool/rpo/rpo.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/rsb/rsbMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c > src/bool/rsb/rsbMan.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bool/rpo/rpo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c > src/bool/rpo/rpo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c > src/proof/pdr/pdrCnf.d --> ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrIncr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrInv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c > src/proof/pdr/pdrInv.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c > src/proof/pdr/pdrSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c > src/proof/pdr/pdrTsim.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c > src/proof/pdr/pdrTsim2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c > src/proof/pdr/pdrTsim3.d --> ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/pdr/pdrUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c > src/proof/pdr/pdrUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absDup.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absGla.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absGla.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c > src/proof/abs/absGla.d -> ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absGlaOld.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c > src/proof/abs/absGlaOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absIter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absIter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c > src/proof/abs/absIter.d -> ABC: `` Generating dependency: /src/proof/abs/absOldCex.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldCex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d -> ABC: `` Generating dependency: /src/proof/abs/absOldRef.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldRef.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/abs/absOldSat.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldRef.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/abs/absOldSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c > src/proof/abs/absOldSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOldSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOldSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c > src/proof/abs/absOldSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absOut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c > src/proof/abs/absOut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absPth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absPth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d -> ABC: `` Generating dependency: /src/proof/abs/absRef.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absRef.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c > src/proof/abs/absRef.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absRefSelect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c > src/proof/abs/absRefSelect.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRpm.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absRefSelect.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c > src/proof/abs/absRefSelect.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absRpm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c > src/proof/abs/absRpm.d -> ABC: `` Generating dependency: /src/proof/abs/absRpmOld.c --> ABC: `` Generating dependency: /src/proof/abs/absVta.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absRpmOld.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c > src/proof/abs/absRpmOld.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/abs/absVta.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absVta.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c > src/proof/abs/absVta.d -> ABC: `` Generating dependency: /src/proof/abs/absUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/abs/absUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c > src/proof/abs/absUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/liveness.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/liveness.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c > src/proof/live/liveness.d +-> ABC: `` Generating dependency: /src/proof/live/liveness_sim.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/liveness_sim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c > src/proof/live/liveness_sim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/live/liveness_sim.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/liveness_sim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c > src/proof/live/liveness_sim.d -> ABC: `` Generating dependency: /src/proof/live/ltl_parser.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/ltl_parser.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c > src/proof/live/ltl_parser.d -> ABC: `` Generating dependency: /src/proof/live/kliveness.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/ltl_parser.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c > src/proof/live/ltl_parser.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/kliveness.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c > src/proof/live/kliveness.d -> ABC: `` Generating dependency: /src/proof/live/monotone.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/monotone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c > src/proof/live/monotone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/disjunctiveMonotone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c > src/proof/live/disjunctiveMonotone.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/arenaViolation.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/arenaViolation.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c > src/proof/live/arenaViolation.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/kLiveConstraints.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d -> ABC: `` Generating dependency: /src/proof/live/combination.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/kLiveConstraints.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/live/combination.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscClass.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c > src/proof/ssc/sscClass.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscCore.c +-> ABC: `` Generating dependency: /src/proof/ssc/sscSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/ssc/sscSat.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c > src/proof/ssc/sscSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c > src/proof/ssc/sscUtil.d -> ABC: `` Generating dependency: /src/proof/int/intCheck.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssc/sscUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c > src/proof/ssc/sscUtil.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c > src/proof/int/intCheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intContain.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intContain.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c > src/proof/int/intContain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c > src/proof/int/intCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intCtrex.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intCtrex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c > src/proof/int/intCtrex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intDup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c > src/proof/int/intDup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intFrames.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intFrames.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c > src/proof/int/intFrames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6094,243 +6130,244 @@ -> ABC: `` Generating dependency: /src/proof/int/intUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/int/intUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c > src/proof/int/intUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/cec/cecCec.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecChoice.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecChoice.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d +-> ABC: `` Generating dependency: /src/proof/cec/cecCec.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecClass.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c > src/proof/cec/cecCore.d --> ABC: `` Generating dependency: /src/proof/cec/cecCorr.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecCorr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecCorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c > src/proof/cec/cecCorr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecIso.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecIso.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c > src/proof/cec/cecIso.d -> ABC: `` Generating dependency: /src/proof/cec/cecMan.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c > src/proof/cec/cecMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecPat.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c > src/proof/cec/cecMan.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecPat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecProve.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecProve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecProve.c > src/proof/cec/cecProve.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSat.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSatG.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG2.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c > src/proof/cec/cecSatG2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSatG3.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c > src/proof/cec/cecSatG2.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c > src/proof/cec/cecSatG3.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSeq.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSim.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c > src/proof/cec/cecSim.d --> ABC: `` Generating dependency: /src/proof/cec/cecSolve.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecSolve.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSolve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c > src/proof/cec/cecSolve.d -> ABC: `` Generating dependency: /src/proof/cec/cecSolveG.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSolveG.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c > src/proof/cec/cecSolveG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSplit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSplit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c > src/proof/cec/cecSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSynth.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSynth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c > src/proof/cec/cecSynth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSynth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c > src/proof/cec/cecSynth.d -> ABC: `` Generating dependency: /src/proof/cec/cecSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/cec/cecSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCl.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c > src/proof/acec/acecCl.d -> ABC: `` Generating dependency: /src/proof/acec/acecCore.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c > src/proof/acec/acecCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c > src/proof/acec/acecCore.d -> ABC: `` Generating dependency: /src/proof/acec/acecCo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c > src/proof/acec/acecCo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecBo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecBo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c > src/proof/acec/acecBo.d -> ABC: `` Generating dependency: /src/proof/acec/acecRe.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecRe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecRe.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d -> ABC: `` Generating dependency: /src/proof/acec/acecPa.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecPa.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c > src/proof/acec/acecPa.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPo.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecPo.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c > src/proof/acec/acecPo.d -> ABC: `` Generating dependency: /src/proof/acec/acecPool.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecPool.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCover.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecCover.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c > src/proof/acec/acecCover.d --> ABC: `` Generating dependency: /src/proof/acec/acecFadds.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecFadds.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c > src/proof/acec/acecFadds.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/acec/acecFadds.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecFadds.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c > src/proof/acec/acecFadds.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecMult.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecMult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d -> ABC: `` Generating dependency: /src/proof/acec/acecNorm.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecNorm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c > src/proof/acec/acecNorm.d -> ABC: `` Generating dependency: /src/proof/acec/acecOrder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecOrder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c > src/proof/acec/acecOrder.d --> ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecPolyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c > src/proof/acec/acecPolyn.d -> ABC: `` Generating dependency: /src/proof/acec/acecSt.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecSt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c > src/proof/acec/acecSt.d --> ABC: `` Generating dependency: /src/proof/acec/acecTree.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecSt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c > src/proof/acec/acecSt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/acec/acecTree.c -> ABC: `` Generating dependency: /src/proof/acec/acecUtil.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c > src/proof/acec/acecUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acec2Mult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c > src/proof/acec/acec2Mult.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecXor.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/acec/acecXor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c > src/proof/acec/acecXor.d -> ABC: `` Generating dependency: /src/proof/dch/dchAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c > src/proof/dch/dchAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchChoice.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchChoice.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c > src/proof/dch/dchChoice.d -> ABC: `` Generating dependency: /src/proof/dch/dchClass.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchChoice.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c > src/proof/dch/dchChoice.d -> ABC: `` Generating dependency: /src/proof/dch/dchCnf.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c > src/proof/dch/dchCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/dch/dchCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/dch/dchCore.c -> ABC: `` Generating dependency: /src/proof/dch/dchMan.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c > src/proof/dch/dchMan.d -> ABC: `` Generating dependency: /src/proof/dch/dchSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c > src/proof/dch/dchSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c > src/proof/dch/dchSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchSimSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c > src/proof/dch/dchSimSat.d -> ABC: `` Generating dependency: /src/proof/dch/dchSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/dch/dchSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c > src/proof/dch/dchSweep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c > src/proof/fraig/fraigApi.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c > src/proof/fraig/fraigCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c > src/proof/fraig/fraigCanon.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFeed.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFeed.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c > src/proof/fraig/fraigMan.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c > src/proof/fraig/fraigMem.d --> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigNode.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c > src/proof/fraig/fraigNode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigPrime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c > src/proof/fraig/fraigPrime.d --> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fraig/fraigVec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c > src/proof/fraig/fraigVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraBmc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraBmc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c > src/proof/fra/fraBmc.d --> ABC: `` Generating dependency: /src/proof/fra/fraCec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fra/fraCec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraCec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraClass.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d -> ABC: `` Generating dependency: /src/proof/fra/fraClau.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClau.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d -> ABC: `` Generating dependency: /src/proof/fra/fraClaus.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClau.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraClaus.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c > src/proof/fra/fraClaus.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraCnf.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c > src/proof/fra/fraCnf.d -> ABC: `` Generating dependency: /src/proof/fra/fraCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c > src/proof/fra/fraCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraHot.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraHot.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c > src/proof/fra/fraHot.d -> ABC: `` Generating dependency: /src/proof/fra/fraImp.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraHot.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c > src/proof/fra/fraHot.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraImp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c > src/proof/fra/fraImp.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraInd.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraInd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraInd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d -> ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraIndVer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c > src/proof/fra/fraIndVer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraLcr.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraLcr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c > src/proof/fra/fraLcr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c > src/proof/fra/fraMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraPart.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c > src/proof/fra/fraPart.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c > src/proof/fra/fraSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSec.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraSec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSim.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/fra/fraSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c > src/proof/fra/fraSim.d --> ABC: `` Generating dependency: /src/proof/ssw/sswAig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c @@ -6338,136 +6375,136 @@ -> ABC: `` Generating dependency: /src/proof/ssw/sswClass.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswClass.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c > src/proof/ssw/sswClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswCnf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c > src/proof/ssw/sswCnf.d -> ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswConstr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswDyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c > src/proof/ssw/sswDyn.d -> ABC: `` Generating dependency: /src/proof/ssw/sswFilter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswFilter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c > src/proof/ssw/sswFilter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswIslands.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c > src/proof/ssw/sswIslands.d --> ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswLcorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c > src/proof/ssw/sswLcorr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c > src/proof/ssw/sswMan.d --> ABC: `` Generating dependency: /src/proof/ssw/sswPart.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c > src/proof/ssw/sswPart.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswPart.c -> ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c > src/proof/ssw/sswPart.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswPairs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c > src/proof/ssw/sswPairs.d -> ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswRarity.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c > src/proof/ssw/sswRarity.d --> ABC: `` Generating dependency: /src/proof/ssw/sswSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c > src/proof/ssw/sswSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSemi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c > src/proof/ssw/sswSemi.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d -> ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSimSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c > src/proof/ssw/sswSimSat.d --> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/proof/ssw/sswUnique.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c > src/proof/ssw/sswUnique.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c > src/aig/aig/aigCheck.d --> ABC: `` Generating dependency: /src/aig/aig/aigCanon.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigCanon.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigCanon.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c > src/aig/aig/aigCanon.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigCuts.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigCuts.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c > src/aig/aig/aigCuts.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigDfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c > src/aig/aig/aigDfs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigDup.c --> ABC: `` Generating dependency: /src/aig/aig/aigFanout.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c > src/aig/aig/aigDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigFanout.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigFrames.c --> ABC: `` Generating dependency: /src/aig/aig/aigInter.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigFrames.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c > src/aig/aig/aigFrames.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d --> ABC: `` Generating dependency: /src/aig/aig/aigJust.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigInter.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigInter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d +-> ABC: `` Generating dependency: /src/aig/aig/aigJust.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigJust.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c > src/aig/aig/aigJust.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c > src/aig/aig/aigMan.d -> ABC: `` Generating dependency: /src/aig/aig/aigMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c > src/aig/aig/aigMem.d --> ABC: `` Generating dependency: /src/aig/aig/aigMffc.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigMffc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigMffc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigMffc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigObj.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c > src/aig/aig/aigObj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigOper.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigOper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c > src/aig/aig/aigOper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigOrder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigOrder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c > src/aig/aig/aigOrder.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPack.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigPack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c > src/aig/aig/aigPack.d -> ABC: `` Generating dependency: /src/aig/aig/aigPart.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigPart.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c > src/aig/aig/aigPart.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigPartReg.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c > src/aig/aig/aigPartReg.d -> ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigPartSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c > src/aig/aig/aigPartSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRepr.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigRepr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c > src/aig/aig/aigRepr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRet.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigRet.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c > src/aig/aig/aigRet.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRetF.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigRetF.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c > src/aig/aig/aigRetF.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigScl.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigShow.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c > src/aig/aig/aigShow.d -> ABC: `` Generating dependency: /src/aig/aig/aigSplit.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigSplit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c > src/aig/aig/aigSplit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c > src/aig/aig/aigTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTiming.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigTiming.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c > src/aig/aig/aigTiming.d -> ABC: `` Generating dependency: /src/aig/aig/aigTruth.c @@ -6476,12 +6513,11 @@ -> ABC: `` Generating dependency: /src/aig/aig/aigTsim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigTsim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/aig/aigUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c > src/aig/aig/aigUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigWin.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/aig/aigWin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c > src/aig/aig/aigWin.d -> ABC: `` Generating dependency: /src/aig/saig/saigCone.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigCone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c > src/aig/saig/saigCone.d @@ -6492,21 +6528,20 @@ -> ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigConstr2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d -> ABC: `` Generating dependency: /src/aig/saig/saigDual.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigDual.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c > src/aig/saig/saigDual.d --> ABC: `` Generating dependency: /src/aig/saig/saigDup.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigDup.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d -> ABC: `` Generating dependency: /src/aig/saig/saigInd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigInd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c > src/aig/saig/saigInd.d -> ABC: `` Generating dependency: /src/aig/saig/saigIoa.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIoa.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c > src/aig/saig/saigIoa.d --> ABC: `` Generating dependency: /src/aig/saig/saigIso.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIso.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigIso.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIso.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d -> ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoFast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c > src/aig/saig/saigIsoFast.d -> ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c @@ -6514,384 +6549,384 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoSlow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c > src/aig/saig/saigIsoSlow.d -> ABC: `` Generating dependency: /src/aig/saig/saigMiter.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigMiter.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c > src/aig/saig/saigMiter.d --> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigOutDec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c > src/aig/saig/saigOutDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigPhase.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigPhase.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c > src/aig/saig/saigPhase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigRetFwd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c > src/aig/saig/saigRetFwd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigRetMin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c > src/aig/saig/saigRetMin.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigRetStep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d -> ABC: `` Generating dependency: /src/aig/saig/saigScl.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c > src/aig/saig/saigScl.d -> ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSimFast.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c > src/aig/saig/saigSimFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSimMv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c > src/aig/saig/saigSimMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c -> ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c --> ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSimMv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c > src/aig/saig/saigSimMv.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSimSeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c > src/aig/saig/saigSimSeq.d +-> ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigStrSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c > src/aig/saig/saigStrSim.d -> ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c > src/aig/saig/saigSwitch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSynch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigSynch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c > src/aig/saig/saigSynch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigTempor.c --> ABC: `` Generating dependency: /src/aig/saig/saigTrans.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigTempor.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c > src/aig/saig/saigTempor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigTrans.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigTrans.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c > src/aig/saig/saigTrans.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigWnd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/saig/saigWnd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c > src/aig/saig/saigWnd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c > src/aig/gia/giaAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAgi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAgi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c > src/aig/gia/giaAgi.d -> ABC: `` Generating dependency: /src/aig/gia/giaAiger.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAiger.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c > src/aig/gia/giaAiger.d +-> ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAigerExt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaAigerExt.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d -> ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBalAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c > src/aig/gia/giaBalAig.d -> ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBalLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c > src/aig/gia/giaBalLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBalMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d -> ABC: `` Generating dependency: /src/aig/gia/giaBidec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBalMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaBidec.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c > src/aig/gia/giaBidec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCCof.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCCof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c > src/aig/gia/giaCCof.d -> ABC: `` Generating dependency: /src/aig/gia/giaCex.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCCof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c > src/aig/gia/giaCCof.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c > src/aig/gia/giaCex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaClp.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaClp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c > src/aig/gia/giaClp.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCof.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c > src/aig/gia/giaCof.d -> ABC: `` Generating dependency: /src/aig/gia/giaCone.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCone.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c > src/aig/gia/giaCone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSatOld.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c > src/aig/gia/giaCSatOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c > src/aig/gia/giaCSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c -> ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c > src/aig/gia/giaCSat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c > src/aig/gia/giaCSat3.d --> ABC: `` Generating dependency: /src/aig/gia/giaCSatP.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSatP.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c > src/aig/gia/giaCSatP.d --> ABC: `` Generating dependency: /src/aig/gia/giaCTas.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCTas.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaCSatP.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCSatP.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c > src/aig/gia/giaCSatP.d +-> ABC: `` Generating dependency: /src/aig/gia/giaCTas.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCTas.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d -> ABC: `` Generating dependency: /src/aig/gia/giaCut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDecs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaDecs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c > src/aig/gia/giaDecs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDeep.c +-> ABC: `` Generating dependency: /src/aig/gia/giaDfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaDeep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c > src/aig/gia/giaDeep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaDfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c > src/aig/gia/giaDfs.d -> ABC: `` Generating dependency: /src/aig/gia/giaDup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaDup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEdge.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEdge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEmbed.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c > src/aig/gia/giaEmbed.d --> ABC: `` Generating dependency: /src/aig/gia/giaEnable.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaEnable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEnable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c > src/aig/gia/giaEnable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c +-> ABC: `` Generating dependency: /src/aig/gia/giaEra.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEquiv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c > src/aig/gia/giaEquiv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaEra.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEra.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c > src/aig/gia/giaEra.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEra2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEra2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c > src/aig/gia/giaEra2.d -> ABC: `` Generating dependency: /src/aig/gia/giaEsop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaEsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c > src/aig/gia/giaEsop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaExist.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaExist.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c > src/aig/gia/giaExist.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFalse.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFalse.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c > src/aig/gia/giaFalse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFanout.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c > src/aig/gia/giaFanout.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaForce.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaForce.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c > src/aig/gia/giaForce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFrames.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFrames.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c > src/aig/gia/giaFrames.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFront.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFront.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c > src/aig/gia/giaFront.d -> ABC: `` Generating dependency: /src/aig/gia/giaFx.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaFx.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c > src/aig/gia/giaFx.d -> ABC: `` Generating dependency: /src/aig/gia/giaGen.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaGen.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c > src/aig/gia/giaGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaGig.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaGig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaGig.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaGig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d -> ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaGlitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c > src/aig/gia/giaGlitch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaHash.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaHash.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c > src/aig/gia/giaHash.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIf.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c > src/aig/gia/giaIf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIff.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIff.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c > src/aig/gia/giaIff.d -> ABC: `` Generating dependency: /src/aig/gia/giaIiff.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIiff.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d -> ABC: `` Generating dependency: /src/aig/gia/giaIso.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIso.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIso2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c > src/aig/gia/giaIso2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaIso3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c > src/aig/gia/giaIso3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaJf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaJf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c > src/aig/gia/giaJf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaKf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaKf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c > src/aig/gia/giaKf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaLf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaLf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c > src/aig/gia/giaLf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMf.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c > src/aig/gia/giaMf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d --> ABC: `` Generating dependency: /src/aig/gia/giaMfs.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMini.c +-> ABC: `` Generating dependency: /src/aig/gia/giaMfs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMini.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d --> ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMinLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c > src/aig/gia/giaMinLut.d -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMinLut2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c > src/aig/gia/giaMinLut2.d --> ABC: `` Generating dependency: /src/aig/gia/giaMulFind.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaMulFind.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMulFind.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMulFind.c > src/aig/gia/giaMulFind.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMuxes.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c -> ABC: `` Generating dependency: /src/aig/gia/giaNf.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaMuxes.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaNf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c > src/aig/gia/giaNf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaOf.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaOf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c > src/aig/gia/giaOf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPack.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPack.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c > src/aig/gia/giaPack.d -> ABC: `` Generating dependency: /src/aig/gia/giaPat.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c > src/aig/gia/giaPat.d --> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPat2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c > src/aig/gia/giaPat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c -> ABC: `` Generating dependency: /src/aig/gia/giaPf.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPat2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c > src/aig/gia/giaPat2.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaPf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c > src/aig/gia/giaPf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaQbf.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaQbf.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape1.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaReshape1.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c > src/aig/gia/giaReshape1.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaReshape2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c > src/aig/gia/giaReshape2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaResub2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d +-> ABC: `` Generating dependency: /src/aig/gia/giaResub2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c > src/aig/gia/giaResub3.d -> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaResub6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaRetime.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaRetime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaRex.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaRex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c > src/aig/gia/giaRex.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatEdge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c > src/aig/gia/giaSatEdge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatLE.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c > src/aig/gia/giaSatLE.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatLut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c > src/aig/gia/giaSatLut.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatoko.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d --> ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatSyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c > src/aig/gia/giaSatSyn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSatSyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c > src/aig/gia/giaSatSyn.d -> ABC: `` Generating dependency: /src/aig/gia/giaSat3.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSat3.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaScl.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaScl.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d -> ABC: `` Generating dependency: /src/aig/gia/giaScript.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaScript.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d -> ABC: `` Generating dependency: /src/aig/gia/giaShow.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaScript.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c > src/aig/gia/giaShrink.d --> ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink6.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c > src/aig/gia/giaShrink6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink7.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSif.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink7.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSif.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c > src/aig/gia/giaSif.d -> ABC: `` Generating dependency: /src/aig/gia/giaSim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSim2.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSimBase.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c > src/aig/gia/giaSimBase.d --> ABC: `` Generating dependency: /src/aig/gia/giaSort.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaSort.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d -> ABC: `` Generating dependency: /src/aig/gia/giaSplit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSplit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStg.c --> ABC: `` Generating dependency: /src/aig/gia/giaStoch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStg.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStoch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaStoch.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStoch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d -> ABC: `` Generating dependency: /src/aig/gia/giaStr.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d -> ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaStr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSupMin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c > src/aig/gia/giaSupMin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupp.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSupp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupps.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSupp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSupps.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c > src/aig/gia/giaSupps.d -> ABC: `` Generating dependency: /src/aig/gia/giaSweep.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c > src/aig/gia/giaSweep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSweeper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d -> ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaSwitch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d -> ABC: `` Generating dependency: /src/aig/gia/giaTim.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c > src/aig/gia/giaTim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTis.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTis.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c > src/aig/gia/giaTis.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTranStoch.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTranStoch.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c > src/aig/gia/giaTranStoch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTruth.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTsim.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaTsim.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c > src/aig/gia/giaTsim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaUnate.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaUnate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c > src/aig/gia/giaUnate.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/gia/giaUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBound.c @@ -6899,13 +6934,14 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ioa/ioaReadAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c > src/aig/ioa/ioaReadAig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ioa/ioaUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c > src/aig/ioa/ioaUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyBalance.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c > src/aig/ivy/ivyBalance.d @@ -6916,35 +6952,36 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCut.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDsd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFanout.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFastMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c > src/aig/ivy/ivyFastMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFastMap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c > src/aig/ivy/ivyFastMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFraig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c > src/aig/ivy/ivyFraig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyHaig.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c > src/aig/ivy/ivyMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c > src/aig/ivy/ivyMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMulti.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6954,108 +6991,108 @@ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyOper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyResyn.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyRwr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyRwr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d -> ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivySeq.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyShow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c > src/aig/ivy/ivyTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/ivy/ivyUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopBalance.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopBalance.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c > src/aig/hop/hopBalance.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopCheck.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopBalance.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c > src/aig/hop/hopBalance.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c > src/aig/hop/hopCheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopDfs.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopDfs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c > src/aig/hop/hopDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopMem.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopMem.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c > src/aig/hop/hopMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopObj.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopObj.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c > src/aig/hop/hopObj.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopOper.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopOper.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopTable.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopTruth.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopTruth.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c > src/aig/hop/hopTruth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/aig/hop/hopUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c > src/aig/hop/hopUtil.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAPI.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAnneal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c > src/bdd/cudd/cuddAnneal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAnneal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c > src/bdd/cudd/cuddAnneal.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddApa.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddApprox.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c > src/bdd/cudd/cuddApprox.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddAbs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c > src/bdd/cudd/cuddBddAbs.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCache.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddClip.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c @@ -7063,213 +7100,212 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c --> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddExact.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddExport.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c --> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddExport.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddInit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLinear.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRef.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRead.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRef.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSat.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSign.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSign.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddTable.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c --> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdMan.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdProc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdProc.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdTree.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c > src/bdd/dsd/dsdTree.d -> ABC: `` Generating dependency: /src/bdd/epd/epd.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/epd/epd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d -> ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/mtr/mtrBasic.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c > src/bdd/mtr/mtrBasic.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/mtr/mtrGroup.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c > src/bdd/mtr/mtrGroup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoApi.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoApi.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c > src/bdd/reo/reoApi.d -> ABC: `` Generating dependency: /src/bdd/reo/reoCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoProfile.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c > src/bdd/reo/reoProfile.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoShuffle.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c > src/bdd/reo/reoShuffle.d -> ABC: `` Generating dependency: /src/bdd/reo/reoSift.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoSift.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c > src/bdd/reo/reoSift.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoSwap.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoTransfer.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/reo/reoUnits.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c > src/bdd/reo/reoUnits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cas/casCore.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/cas/casCore.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d -> ABC: `` Generating dependency: /src/bdd/cas/casDec.c @@ -7281,77 +7317,77 @@ -> ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrImage.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c > src/bdd/bbr/bbrImage.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d -> ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrReach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c > src/bdd/bbr/bbrReach.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Cluster.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Constr.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d --> ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Core.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Core.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Group.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Hint.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c > src/bdd/llb/llb1Hint.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Man.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Man.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Pivot.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c > src/bdd/llb/llb1Pivot.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Reach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Reach.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Sched.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Bad.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c > src/bdd/llb/llb2Bad.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Core.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c > src/bdd/llb/llb2Core.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Driver.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c > src/bdd/llb/llb2Driver.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Dump.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Flow.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Image.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c > src/bdd/llb/llb2Image.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb3Image.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb3Nonlin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c > src/bdd/llb/llb3Nonlin.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Cex.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Cex.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c > src/bdd/llb/llb4Cex.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Image.c /build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Image.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c > src/bdd/llb/llb4Image.d --> ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Nonlin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c > src/bdd/llb/llb4Nonlin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c -> ABC: `` Generating dependency: /src/bdd/llb/llb4Sweep.c -/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Sweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c > src/bdd/llb/llb4Sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Nonlin.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c > src/bdd/llb/llb4Nonlin.d +/build/reproducible-path/yosys-0.52/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Sweep.c` -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c > src/bdd/llb/llb4Sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: Using CC=g++ @@ -7367,29 +7403,29 @@ -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -> ABC: `` Compiling: /src/map/if/acd/ac_wrapper.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/map/if/acd/ac_wrapper.cpp -o src/map/if/acd/ac_wrapper.o -> ABC: `` Compiling: /src/opt/rar/rewire_miaig.cpp --> ABC: `` Compiling: /src/opt/eslim/relationGeneration.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/map/if/acd/ac_wrapper.cpp -o src/map/if/acd/ac_wrapper.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/rar/rewire_miaig.cpp -o src/opt/rar/rewire_miaig.o --> ABC: `` Compiling: /src/opt/eslim/eSLIM.cpp +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/eslim/relationGeneration.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/eslim/relationGeneration.cpp -o src/opt/eslim/relationGeneration.o +-> ABC: `` Compiling: /src/opt/eslim/eSLIM.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/eslim/eSLIM.cpp -o src/opt/eslim/eSLIM.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/Options.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7423,6 +7459,12 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_assume.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_assume.cpp -o src/sat/cadical/cadical_assume.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cadical/cadical_averages.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_averages.cpp -o src/sat/cadical/cadical_averages.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cadical/cadical_backtrack.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_backtrack.cpp -o src/sat/cadical/cadical_backtrack.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /usr/include/c++/14/bits/hashtable_policy.h:34, from /usr/include/c++/14/bits/hashtable.h:35, from /usr/include/c++/14/bits/unordered_map.h:33, @@ -7442,18 +7484,21 @@ src/map/if/acd/ac_decomposition.hpp:547:40: note: 'res_perm' declared here 547 | std::array res_perm; | ^~~~~~~~ --> ABC: `` Compiling: /src/sat/cadical/cadical_averages.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_averages.cpp -o src/sat/cadical/cadical_averages.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cadical/cadical_backtrack.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_backtrack.cpp -o src/sat/cadical/cadical_backtrack.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_backward.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_backward.cpp -o src/sat/cadical/cadical_backward.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_bins.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_bins.cpp -o src/sat/cadical/cadical_bins.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cadical/cadical_block.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_block.cpp -o src/sat/cadical/cadical_block.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cadical/cadical_ccadical.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_ccadical.cpp -o src/sat/cadical/cadical_ccadical.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/cadical/cadical_checker.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_checker.cpp -o src/sat/cadical/cadical_checker.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In member function 'std::_Head_base<1ul, std::array, false>::_Head_base&>(std::array&)', inlined from 'std::_Tuple_impl<1ul, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, inlined from 'std::_Tuple_impl<0ul, abc::kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, @@ -7467,21 +7512,12 @@ src/map/if/acd/ac_decomposition.hpp:514:40: note: 'res_perm' declared here 514 | std::array res_perm; | ^~~~~~~~ --> ABC: `` Compiling: /src/sat/cadical/cadical_block.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_block.cpp -o src/sat/cadical/cadical_block.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cadical/cadical_ccadical.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_ccadical.cpp -o src/sat/cadical/cadical_ccadical.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cadical/cadical_checker.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_checker.cpp -o src/sat/cadical/cadical_checker.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_clause.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_clause.cpp -o src/sat/cadical/cadical_clause.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_collect.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_collect.cpp -o src/sat/cadical/cadical_collect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_compact.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_compact.cpp -o src/sat/cadical/cadical_compact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7496,10 +7532,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_constrain.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_constrain.cpp -o src/sat/cadical/cadical_constrain.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_contract.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_contract.cpp -o src/sat/cadical/cadical_contract.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_cover.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_cover.cpp -o src/sat/cadical/cadical_cover.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7556,13 +7592,14 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_gates.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_gates.cpp -o src/sat/cadical/cadical_gates.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_idruptracer.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_idruptracer.cpp -o src/sat/cadical/cadical_idruptracer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_instantiate.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_instantiate.cpp -o src/sat/cadical/cadical_instantiate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -o yosys -rdynamic kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/io.o kernel/gzip.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/libcache.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Compiling: /src/sat/cadical/cadical_internal.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_internal.cpp -o src/sat/cadical/cadical_internal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7599,6 +7636,18 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_occs.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_occs.cpp -o src/sat/cadical/cadical_occs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] + 492 | union yyalloc + | ^ +frontends/verilog/verilog_parser.tab.cc:1164:7: note: a different type is defined in another translation unit + 1164 | union yyalloc + | ^ +frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' + 494 | yy_state_t yyss_alloc; + | ^ +frontends/verilog/verilog_parser.tab.cc:1166:14: note: a field of same name but different type is defined in another translation unit + 1166 | yy_state_t yyss_alloc; + | ^ -> ABC: `` Compiling: /src/sat/cadical/cadical_options.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_options.cpp -o src/sat/cadical/cadical_options.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7611,7 +7660,6 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_probe.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_probe.cpp -o src/sat/cadical/cadical_probe.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -o yosys -rdynamic kernel/version_fee39a3284c90249e1d9684cf6944ffbbcbb8f90.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/io.o kernel/gzip.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/libcache.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Compiling: /src/sat/cadical/cadical_profile.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_profile.cpp -o src/sat/cadical/cadical_profile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7642,18 +7690,6 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_resources.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_resources.cpp -o src/sat/cadical/cadical_resources.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] - 492 | union yyalloc - | ^ -frontends/verilog/verilog_parser.tab.cc:1164:7: note: a different type is defined in another translation unit - 1164 | union yyalloc - | ^ -frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' - 494 | yy_state_t yyss_alloc; - | ^ -frontends/verilog/verilog_parser.tab.cc:1166:14: note: a field of same name but different type is defined in another translation unit - 1166 | yy_state_t yyss_alloc; - | ^ -> ABC: `` Compiling: /src/sat/cadical/cadical_restart.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_restart.cpp -o src/sat/cadical/cadical_restart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7666,19 +7702,17 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_shrink.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_shrink.cpp -o src/sat/cadical/cadical_shrink.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] + 126 | enum yysymbol_kind_t +frontends/verilog/verilog_parser.tab.cc:431: note: an enum with different value name is defined in another translation unit + 431 | enum yysymbol_kind_t +frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit + 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ +frontends/verilog/verilog_parser.tab.cc:437: note: mismatching definition + 437 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ -> ABC: `` Compiling: /src/sat/cadical/cadical_signal.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_signal.cpp -o src/sat/cadical/cadical_signal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/sat/cadical/cadical_restart.cpp: In member function 'bool abc::CaDiCaL::Internal::stabilizing()': -src/sat/cadical/cadical_restart.cpp:38:17: warning: unused variable 'delta_conflicts' [-Wunused-variable] - 38 | const int64_t delta_conflicts = - | ^~~~~~~~~~~~~~~ -src/sat/cadical/cadical_restart.cpp:42:15: warning: unused variable 'current_mode' [-Wunused-variable] - 42 | const char *current_mode = stable ? "stable" : "unstable"; - | ^~~~~~~~~~~~ -src/sat/cadical/cadical_restart.cpp:43:15: warning: unused variable 'next_mode' [-Wunused-variable] - 43 | const char *next_mode = stable ? "unstable" : "stable"; - | ^~~~~~~~~ -> ABC: `` Compiling: /src/sat/cadical/cadical_solution.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_solution.cpp -o src/sat/cadical/cadical_solution.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7700,6 +7734,16 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_terminal.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_terminal.cpp -o src/sat/cadical/cadical_terminal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/sat/cadical/cadical_restart.cpp: In member function 'bool abc::CaDiCaL::Internal::stabilizing()': +src/sat/cadical/cadical_restart.cpp:38:17: warning: unused variable 'delta_conflicts' [-Wunused-variable] + 38 | const int64_t delta_conflicts = + | ^~~~~~~~~~~~~~~ +src/sat/cadical/cadical_restart.cpp:42:15: warning: unused variable 'current_mode' [-Wunused-variable] + 42 | const char *current_mode = stable ? "stable" : "unstable"; + | ^~~~~~~~~~~~ +src/sat/cadical/cadical_restart.cpp:43:15: warning: unused variable 'next_mode' [-Wunused-variable] + 43 | const char *next_mode = stable ? "unstable" : "stable"; + | ^~~~~~~~~ -> ABC: `` Compiling: /src/sat/cadical/cadical_ternary.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_ternary.cpp -o src/sat/cadical/cadical_ternary.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7721,20 +7765,9 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_veripbtracer.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_veripbtracer.cpp -o src/sat/cadical/cadical_veripbtracer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] - 126 | enum yysymbol_kind_t -frontends/verilog/verilog_parser.tab.cc:431: note: an enum with different value name is defined in another translation unit - 431 | enum yysymbol_kind_t -frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit - 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ -frontends/verilog/verilog_parser.tab.cc:437: note: mismatching definition - 437 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ -> ABC: `` Compiling: /src/sat/cadical/cadical_version.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_version.cpp -o src/sat/cadical/cadical_version.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/cadical/cadical_vivify.cpp -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_vivify.cpp -o src/sat/cadical/cadical_vivify.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/cadical/cadical_version.cpp:108:30: warning: macro "__DATE__" might prevent reproducible builds [-Wdate-time] 108 | const char *date () { return DATE; } | ^~~~ @@ -7744,6 +7777,9 @@ src/sat/cadical/cadical_version.cpp:108:30: note: in expansion of macro 'DATE' 108 | const char *date () { return DATE; } | ^~~~ +-> ABC: `` Compiling: /src/sat/cadical/cadical_vivify.cpp +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_vivify.cpp -o src/sat/cadical/cadical_vivify.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cadical/cadical_walk.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/cadical/cadical_walk.cpp -o src/sat/cadical/cadical_walk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7772,10 +7808,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcDfs.c --> ABC: `` Compiling: /src/base/abc/abcFanio.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -o src/base/abc/abcFanio.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcFanio.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -o src/base/abc/abcFanio.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcFanOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c -o src/base/abc/abcFanOrder.o @@ -7785,10 +7821,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHie.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c -o src/base/abc/abcHie.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieCec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c -o src/base/abc/abcHieCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieGia.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c -o src/base/abc/abcHieGia.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7819,6 +7855,25 @@ -> ABC: `` Compiling: /src/base/abc/abcRefs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcShow.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcSop.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/extra/extra.h:44, + from src/base/abc/abcHieNew.c:28: +In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', + inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, + inlined from 'abc::Au_ObjFanin2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:172:94, + inlined from 'abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1173:42: +src/base/abc/abcHieNew.c:165:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] + 165 | static inline int Au_ObjFaninId( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_Lit2Var(p->Fanins[i]); } + | ~~~~~~~~~~~^ +src/base/abc/abcHieNew.c: In function 'abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)': +src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' + 61 | int Fanins[2]; // fanin literals + | ^~~~~~ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -7917,22 +7972,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/extra/extra.h:44, - from src/base/abc/abcHieNew.c:28: -In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', - inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, - inlined from 'abc::Au_ObjFanin2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:172:94, - inlined from 'abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1173:42: -src/base/abc/abcHieNew.c:165:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] - 165 | static inline int Au_ObjFaninId( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_Lit2Var(p->Fanins[i]); } - | ~~~~~~~~~~~^ -src/base/abc/abcHieNew.c: In function 'abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)': -src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' - 61 | int Fanins[2]; // fanin literals - | ^~~~~~ --> ABC: `` Compiling: /src/base/abc/abcShow.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, inlined from 'abc::Au_ObjFanin2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:172:94, @@ -7945,9 +7984,6 @@ src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' 61 | int Fanins[2]; // fanin literals | ^~~~~~ --> ABC: `` Compiling: /src/base/abc/abcSop.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7962,16 +7998,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBidec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8049,8 +8085,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c -o src/base/abci/abcLut.o --> ABC: `` Compiling: /src/base/abci/abcLutmin.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcLutmin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c -o src/base/abci/abcLutmin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMap.c @@ -8088,10 +8124,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c -o src/base/abci/abcOrder.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c -o src/base/abci/abcPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcPrint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c -o src/base/abci/abcPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8100,10 +8136,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQbf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQuant.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRec3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8146,10 +8182,6 @@ -> ABC: `` Compiling: /src/base/abci/abcSaucy.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c -o src/base/abci/abcSaucy.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/base/abci/abc.c: In function 'abc::Abc_CommandSymFun(abc::Abc_Frame_t_*, int, char**)': -src/base/abci/abc.c:26194:36: warning: '%s' directive output between 0 and 2147483646 bytes may cause result to exceed 'INT_MAX' [-Wformat-overflow=] -26194 | sprintf( pCommand, "read_truth %s", pTruth ); - | ^~ -> ABC: `` Compiling: /src/base/abci/abcScorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c -o src/base/abci/abcScorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8165,6 +8197,10 @@ -> ABC: `` Compiling: /src/base/abci/abcSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -o src/base/abci/abcSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/base/abci/abc.c: In function 'abc::Abc_CommandSymFun(abc::Abc_Frame_t_*, int, char**)': +src/base/abci/abc.c:26194:36: warning: '%s' directive output between 0 and 2147483646 bytes may cause result to exceed 'INT_MAX' [-Wformat-overflow=] +26194 | sprintf( pCommand, "read_truth %s", pTruth ); + | ^~ -> ABC: `` Compiling: /src/base/abci/abcSymm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8174,13 +8210,13 @@ -> ABC: `` Compiling: /src/base/abci/abcTiming.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -o src/base/abci/abcTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcUnate.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -o src/base/abci/abcUnate.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abci/abcSaucy.c: In function 'abc::saucy_alloc(abc::Abc_Ntk_t_*)': src/base/abci/abcSaucy.c:2656:37: warning: '*_146' may be used uninitialized [-Wmaybe-uninitialized] 2656 | && s->clist && s->nextnon[-1] && s->prevnon | ~~~~~~~~~~~~~^ --> ABC: `` Compiling: /src/base/abci/abcUnate.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -o src/base/abci/abcUnate.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcUnreach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -o src/base/abci/abcUnreach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8225,10 +8261,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioJson.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -o src/base/io/ioJson.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadAiger.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -o src/base/io/ioReadAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBaf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -o src/base/io/ioReadBaf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8307,14 +8343,6 @@ -> ABC: `` Compiling: /src/base/io/ioWriteHMetis.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteHMetis.c -o src/base/io/ioWriteHMetis.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioWriteList.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioWritePla.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o src/base/io/ioWriteHMetis.c: In function 'abc::Io_WriteHMetis(abc::Abc_Ntk_t_*, char*, int, int, int)': src/base/io/ioWriteHMetis.c:42:15: warning: argument 1 null where non-null expected [-Wnonnull] 42 | fclose( pFHMetis ); @@ -8325,6 +8353,14 @@ /usr/include/stdio.h:184:12: note: in a call to function 'fclose' declared 'nonnull' 184 | extern int fclose (FILE *__stream) __nonnull ((1)); | ^~~~~~ +-> ABC: `` Compiling: /src/base/io/ioWriteList.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioWritePla.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteSmv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o @@ -8337,8 +8373,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c -o src/base/main/mainInit.o --> ABC: `` Compiling: /src/base/main/mainLib.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/main/mainLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c -o src/base/main/mainLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainReal.c @@ -8425,6 +8461,12 @@ -> ABC: `` Compiling: /src/base/wlc/wlcShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcStdin.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcUif.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wlc/wlc.h:34, from src/base/wlc/wlcReadVer.c:21: In function 'abc::Abc_TtSetHex(unsigned long*, int, int)', @@ -8447,12 +8489,6 @@ src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 985 | int v, b, Value, nBits, nInts; | ^~~~~ --> ABC: `` Compiling: /src/base/wlc/wlcStdin.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/wlc/wlcUif.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -o src/base/wlc/wlcWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8498,6 +8534,9 @@ -> ABC: `` Compiling: /src/base/wln/wlnWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbAbc.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wln/wlnWriteVer.c:21: In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)' at src/base/wln/wln.h:115:103, @@ -8547,9 +8586,6 @@ src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ --> ABC: `` Compiling: /src/base/acb/acbAbc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -o src/base/acb/acbAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8634,6 +8670,10 @@ -> ABC: `` Compiling: /src/base/cba/cbaReadBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c -o src/base/cba/cbaReadBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +kernel/register.cc: In function '__ct_base .constprop': +kernel/register.cc:688:9: note: variable tracking size limit exceeded with '-fvar-tracking-assignments', retrying without + 688 | CellHelpMessages() { + | ^ -> ABC: `` Compiling: /src/base/cba/cbaReadVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -o src/base/cba/cbaReadVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8754,10 +8794,6 @@ -> ABC: `` Compiling: /src/map/if/ifCache.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c -o src/map/if/ifCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -kernel/register.cc: In function '__ct_base .constprop': -kernel/register.cc:688:9: note: variable tracking size limit exceeded with '-fvar-tracking-assignments', retrying without - 688 | CellHelpMessages() { - | ^ -> ABC: `` Compiling: /src/map/if/ifCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c -o src/map/if/ifCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9000,6 +9036,9 @@ -> ABC: `` Compiling: /src/misc/extra/extraUtilFile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/extra/extraUtilFile.c: In function 'abc::Extra_FileNameAppend(char*, char*)': src/misc/extra/extraUtilFile.c:157:25: warning: '%s' directive writing up to 499 bytes into a region of size between 1 and 500 [-Wformat-overflow=] 157 | sprintf( Buffer, "%s%s", pBase, pSuffix ); @@ -9016,9 +9055,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9031,24 +9067,6 @@ -> ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', - inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: -src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 2556 | printf( "%d", (int)((*pT >> i) & 1) ); - | ^~~ -src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': -src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 - 2560 | int i, j, k, n = 4; - | ^ -In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', - inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: -src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 2556 | printf( "%d", (int)((*pT >> i) & 1) ); - | ^~~ -src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': -src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 - 2560 | int i, j, k, n = 4; - | ^ -> ABC: `` Compiling: /src/misc/extra/extraUtilMult.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9077,6 +9095,24 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCompare.c +In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', + inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: +src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 2556 | printf( "%d", (int)((*pT >> i) & 1) ); + | ^~~ +src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': +src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 + 2560 | int i, j, k, n = 4; + | ^ +In function 'abc::Extra_NtkPrintBin(unsigned long*, int)', + inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: +src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 2556 | printf( "%d", (int)((*pT >> i) & 1) ); + | ^~~ +src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': +src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 + 2560 | int i, j, k, n = 4; + | ^ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcContain.c @@ -9102,16 +9138,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -o src/misc/mvc/mvcMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcOpBool.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c -o src/misc/mvc/mvcOpBool.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcPrint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcSort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9123,19 +9159,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/st/stmm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c -o src/misc/st/stmm.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilBridge.c +-> ABC: `` Compiling: /src/misc/util/utilBSet.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c -o src/misc/util/utilBridge.o +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBSet.c -o src/misc/util/utilBSet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/util/utilBSet.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBSet.c -o src/misc/util/utilBSet.o -> ABC: `` Compiling: /src/misc/util/utilCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -o src/misc/util/utilCex.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilColor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -o src/misc/util/utilColor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilFile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c -o src/misc/util/utilFile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9169,21 +9205,6 @@ -> ABC: `` Compiling: /src/misc/tim/timMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -o src/misc/tim/timMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, - from src/misc/util/utilBSet.c:26: -In function 'abc::Vec_WecAlloc(int)', - inlined from 'abc::Vec_WecStart(int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vecWec.h:113:21, - inlined from 'abc::Abc_BSEvalCreateCofactorSets(int, abc::Vec_Wec_t_**)' at src/misc/util/utilBSet.c:813:38: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:265:50: warning: argument 1 range [18446744071562067969, 18446744073709551615] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] - 265 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/14/cstdlib:79, - from /usr/include/c++/14/stdlib.h:36, - from src/misc/util/utilBSet.c:23: -/usr/include/stdlib.h: In function 'abc::Abc_BSEvalCreateCofactorSets(int, abc::Vec_Wec_t_**)': -/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here - 675 | extern void *calloc (size_t __nmemb, size_t __size) - | ^~~~~~ -> ABC: `` Compiling: /src/misc/tim/timTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -o src/misc/tim/timTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9198,10 +9219,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bbl/bblif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/parse/parseEqn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c -o src/misc/parse/parseEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/parse/parseStack.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c -o src/misc/parse/parseStack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9209,11 +9230,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutCut.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutMan.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -o src/opt/cut/cutMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9256,6 +9277,21 @@ -> ABC: `` Compiling: /src/opt/fxu/fxuPrint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -o src/opt/fxu/fxuPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, + from src/misc/util/utilBSet.c:26: +In function 'abc::Vec_WecAlloc(int)', + inlined from 'abc::Vec_WecStart(int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vecWec.h:113:21, + inlined from 'abc::Abc_BSEvalCreateCofactorSets(int, abc::Vec_Wec_t_**)' at src/misc/util/utilBSet.c:813:38: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:265:50: warning: argument 1 range [18446744071562067969, 18446744073709551615] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] + 265 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/14/cstdlib:79, + from /usr/include/c++/14/stdlib.h:36, + from src/misc/util/utilBSet.c:23: +/usr/include/stdlib.h: In function 'abc::Abc_BSEvalCreateCofactorSets(int, abc::Vec_Wec_t_**)': +/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here + 675 | extern void *calloc (size_t __nmemb, size_t __size) + | ^~~~~~ -> ABC: `` Compiling: /src/opt/fxu/fxuReduce.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c -o src/opt/fxu/fxuReduce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9279,13 +9315,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -o src/opt/fxch/FxchSCHashTable.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c -o src/opt/rwr/rwrDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrEva.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c -o src/opt/rwr/rwrEva.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrExp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c -o src/opt/rwr/rwrExp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9314,10 +9350,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -o src/opt/mfs/mfsMan.o -> ABC: `` Compiling: /src/opt/mfs/mfsResub.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -o src/opt/mfs/mfsResub.o --> ABC: `` Compiling: /src/opt/mfs/mfsSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/mfs/mfsSat.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsStrash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c -o src/opt/mfs/mfsStrash.o @@ -9363,10 +9399,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retFlow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -o src/opt/ret/retFlow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retIncrem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -o src/opt/ret/retIncrem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9396,10 +9432,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c -o src/opt/res/resSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c -o src/opt/res/resSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resStrash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -o src/opt/res/resStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9447,10 +9483,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkBidec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c -o src/opt/nwk/nwkBidec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c -o src/opt/nwk/nwkDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkFanio.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c -o src/opt/nwk/nwkFanio.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9461,10 +9497,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c -o src/opt/nwk/nwkMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMap.c --> ABC: `` Compiling: /src/opt/nwk/nwkMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -o src/opt/nwk/nwkMap.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/nwk/nwkMerge.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -o src/opt/nwk/nwkObj.o @@ -9480,10 +9516,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c -o src/opt/nwk/nwkUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c -o src/opt/rwt/rwtDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c -o src/opt/rwt/rwtMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9503,29 +9539,29 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c -o src/opt/cgt/cgtAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtDecide.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -o src/opt/cgt/cgtDecide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -o src/opt/cgt/cgtMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c -o src/opt/cgt/cgtSat.o --> ABC: `` Compiling: /src/opt/csw/cswCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -o src/opt/csw/cswCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/csw/cswCore.c -> ABC: `` Compiling: /src/opt/csw/cswCut.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -o src/opt/csw/cswCore.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -o src/opt/dar/darBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9546,8 +9582,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darPrec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c -o src/opt/dar/darPrec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darRefact.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darScript.c @@ -9561,16 +9597,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCount.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -o src/opt/dau/dauCount.o --> ABC: `` Compiling: /src/opt/dau/dauDivs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/dau/dauDivs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c -o src/opt/dau/dauDsd.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauEnum.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -o src/opt/dau/dauEnum.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauGia.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c -o src/opt/dau/dauGia.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9590,10 +9626,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dsc/dsc.c --> ABC: `` Compiling: /src/opt/sfm/sfmArea.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/sfm/sfmArea.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o @@ -9622,6 +9658,9 @@ -> ABC: `` Compiling: /src/opt/sfm/sfmWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c -o src/opt/sfm/sfmWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/sbd/sbd.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c -o src/opt/sbd/sbd.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': src/opt/dau/dauTree.c:1492:22: warning: array subscript i_38 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); @@ -9629,6 +9668,11 @@ src/opt/dau/dauTree.c:36:20: note: while referencing 'pFans' 36 | unsigned char pFans[0]; // fanins | ^~~~~ +-> ABC: `` Compiling: /src/opt/sbd/sbdCnf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -o src/opt/sbd/sbdCnf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/sbd/sbdCore.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o src/opt/dau/dauTree.c: In function 'abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)': src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of 'unsigned char[0:18446744073709551615]' [-Warray-bounds=] 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; @@ -9642,14 +9686,6 @@ src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' 48 | unsigned char pShared[0]; // shared literals | ^~~~~~~ --> ABC: `` Compiling: /src/opt/sbd/sbd.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c -o src/opt/sbd/sbd.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/sbd/sbdCnf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -o src/opt/sbd/sbdCnf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/sbd/sbdCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -o src/opt/sbd/sbdCut.o @@ -9665,10 +9701,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c -o src/opt/sbd/sbdSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9680,10 +9716,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterB.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterP.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satProof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9697,11 +9733,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver3.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satStore.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -o src/sat/bsat/satStore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satTrace.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -o src/sat/bsat/satTrace.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9719,10 +9755,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -o src/sat/xsat/xsatCnfReader.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -o src/sat/satoko/solver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver_api.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9731,10 +9767,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/csat/csat_apis.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -o src/sat/csat/csat_apis.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatActivity.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c -o src/sat/msat/msatActivity.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatClause.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c -o src/sat/msat/msatClause.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9755,19 +9791,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -o src/sat/msat/msatSolverCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverIo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -o src/sat/msat/msatSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9779,10 +9815,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfData.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfFast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9796,10 +9832,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfWrite.c --> ABC: `` Compiling: /src/sat/bmc/bmcBCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/bmc/bmcBCore.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o @@ -9808,11 +9844,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -o src/sat/bmc/bmcBmc2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc3.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -o src/sat/bmc/bmcBmc3.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmcAnd.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -o src/sat/bmc/bmcBmc3.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -o src/sat/bmc/bmcBmcAnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmci.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -o src/sat/bmc/bmcBmci.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9920,19 +9956,9 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/allocate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/allocate.c -o src/sat/kissat/allocate.o --> ABC: `` Compiling: /src/sat/kissat/analyze.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/analyze.c -o src/sat/kissat/analyze.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/ands.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c -o src/sat/kissat/ands.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/arena.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c -o src/sat/kissat/arena.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/analyze.c:5: + from src/sat/kissat/allocate.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10144,11 +10170,15 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/analyze.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/analyze.c -o src/sat/kissat/analyze.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/ands.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c -o src/sat/kissat/ands.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/ands.c:4: + from src/sat/kissat/analyze.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10360,8 +10390,17 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/arena.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c -o src/sat/kissat/arena.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/assign.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c -o src/sat/kissat/assign.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/allocate.c:3: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/ands.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10574,7 +10613,9 @@ 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/arena.c:2: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/assign.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10599,7 +10640,7 @@ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: +In file included from src/sat/kissat/assign.c:1: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ @@ -10786,18 +10827,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/assign.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c -o src/sat/kissat/assign.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/averages.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c -o src/sat/kissat/averages.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/backbone.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c -o src/sat/kissat/backbone.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/assign.c:2: + from src/sat/kissat/arena.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -10822,7 +10855,7 @@ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ -In file included from src/sat/kissat/assign.c:1: +In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ @@ -11009,11 +11042,22 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/backbone.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c -o src/sat/kissat/backbone.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/backtrack.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c -o src/sat/kissat/backtrack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/build.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c -o src/sat/kissat/build.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/bump.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c -o src/sat/kissat/bump.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/averages.c:1: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/backbone.c:6: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -11226,16 +11270,8 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/build.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c -o src/sat/kissat/build.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/bump.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c -o src/sat/kissat/bump.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/backbone.c:6: + from src/sat/kissat/averages.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -11245,6 +11281,8 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/check.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c -o src/sat/kissat/check.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -11447,14 +11485,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/check.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c -o src/sat/kissat/check.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/classify.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c -o src/sat/kissat/classify.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlineheap.h:5, - from src/sat/kissat/bump.c:3: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/backtrack.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -11666,10 +11700,15 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/classify.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c -o src/sat/kissat/classify.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/clause.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c -o src/sat/kissat/clause.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/backtrack.c:3: + from src/sat/kissat/inlineheap.h:5, + from src/sat/kissat/bump.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -11679,7 +11718,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -11882,12 +11920,15 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/clause.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c -o src/sat/kissat/clause.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/collect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c -o src/sat/kissat/collect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/colors.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c -o src/sat/kissat/colors.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/compact.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c -o src/sat/kissat/compact.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/classify.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -12103,7 +12144,7 @@ | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, - from src/sat/kissat/clause.c:2: + from src/sat/kissat/collect.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -12308,6 +12349,7 @@ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ @@ -12315,12 +12357,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/colors.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c -o src/sat/kissat/colors.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, - from src/sat/kissat/collect.c:3: + from src/sat/kissat/clause.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -12532,15 +12571,29 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/compact.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c -o src/sat/kissat/compact.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/config.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c -o src/sat/kissat/config.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/congruence.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c -o src/sat/kissat/congruence.o +-> ABC: `` Compiling: /src/sat/kissat/decide.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c -o src/sat/kissat/decide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/deduce.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c -o src/sat/kissat/deduce.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/congruence.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -12756,26 +12809,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/decide.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c -o src/sat/kissat/decide.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/deduce.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c -o src/sat/kissat/deduce.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/congruence.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlineframes.h:5, - from src/sat/kissat/decide.c:2: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/deduce.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -12785,9 +12822,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/definition.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c -o src/sat/kissat/definition.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -13196,9 +13230,8 @@ 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/definition.c:4: + from src/sat/kissat/inlineframes.h:5, + from src/sat/kissat/decide.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -13410,19 +13443,19 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -src/sat/kissat/definition.c:15:12: warning: declaration of 'abc::watches* abc::definition_extractor::watches [2]' changes meaning of 'watches' [-Wchanges-meaning] - 15 | watches *watches[2]; - | ^~~~~~~ -src/sat/kissat/definition.c:15:3: note: used here to mean 'typedef abc::vector abc::watches' - 15 | watches *watches[2]; - | ^~~~~~~ -src/sat/kissat/watch.h:49:16: note: declared here - 49 | typedef vector watches; - | ^~~~~~~ +-> ABC: `` Compiling: /src/sat/kissat/definition.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c -o src/sat/kissat/definition.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/dense.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c -o src/sat/kissat/dense.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/dump.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c -o src/sat/kissat/dump.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/deduce.c:2: + from src/sat/kissat/definition.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -13634,14 +13667,18 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/dense.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c -o src/sat/kissat/dense.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/dump.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c -o src/sat/kissat/dump.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/sat/kissat/definition.c:15:12: warning: declaration of 'abc::watches* abc::definition_extractor::watches [2]' changes meaning of 'watches' [-Wchanges-meaning] + 15 | watches *watches[2]; + | ^~~~~~~ +src/sat/kissat/definition.c:15:3: note: used here to mean 'typedef abc::vector abc::watches' + 15 | watches *watches[2]; + | ^~~~~~~ +src/sat/kissat/watch.h:49:16: note: declared here + 49 | typedef vector watches; + | ^~~~~~~ -> ABC: `` Compiling: /src/sat/kissat/eliminate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c -o src/sat/kissat/eliminate.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/dense.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -13653,12 +13690,15 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/equivalences.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c -o src/sat/kissat/equivalences.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/error.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/error.c -o src/sat/kissat/error.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/extend.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/extend.c -o src/sat/kissat/extend.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/dense.c:4: @@ -13864,15 +13904,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/extend.c -> ABC: `` Compiling: /src/sat/kissat/factor.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/extend.c -o src/sat/kissat/extend.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c -o src/sat/kissat/factor.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/fastel.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c -o src/sat/kissat/fastel.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/equivalences.c:2: @@ -13962,7 +13995,6 @@ src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ @@ -14088,9 +14120,11 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/eliminate.c:4: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/extend.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -14193,6 +14227,7 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/fastel.c In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -14302,23 +14337,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/factor.c:4: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c -o src/sat/kissat/fastel.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/file.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c -o src/sat/kissat/file.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/extend.c:2: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/eliminate.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -14530,14 +14555,7 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/flags.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c -o src/sat/kissat/flags.o -In file included from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/factor.c:7: -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/fastel.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -14546,12 +14564,31 @@ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/factor.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/flags.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c -o src/sat/kissat/flags.o +In file included from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/fastel.c:4: +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ @@ -14629,7 +14666,7 @@ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ -In file included from src/sat/kissat/factor.c:3: +In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ @@ -14639,7 +14676,7 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -In file included from src/sat/kissat/clause.h:4: +In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ @@ -14748,22 +14785,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -src/sat/kissat/factor.c:51:11: warning: declaration of 'abc::scores* abc::factoring::scores' changes meaning of 'scores' [-Wchanges-meaning] - 51 | scores *scores; - | ^~~~~~ -src/sat/kissat/factor.c:51:3: note: used here to mean 'typedef struct abc::scores abc::scores' - 51 | scores *scores; - | ^~~~~~ -src/sat/kissat/factor.c:44:23: note: declared here - 44 | typedef struct scores scores; - | ^~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/format.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c -o src/sat/kissat/format.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/fastel.c:4: + from src/sat/kissat/factor.c:7: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -14847,7 +14875,7 @@ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ -In file included from src/sat/kissat/internal.h:10: +In file included from src/sat/kissat/factor.c:3: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ @@ -14857,7 +14885,7 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -In file included from src/sat/kissat/internal.h:4: +In file included from src/sat/kissat/clause.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ @@ -14966,12 +14994,18 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +src/sat/kissat/factor.c:51:11: warning: declaration of 'abc::scores* abc::factoring::scores' changes meaning of 'scores' [-Wchanges-meaning] + 51 | scores *scores; + | ^~~~~~ +src/sat/kissat/factor.c:51:3: note: used here to mean 'typedef struct abc::scores abc::scores' + 51 | scores *scores; + | ^~~~~~ +src/sat/kissat/factor.c:44:23: note: declared here + 44 | typedef struct scores scores; + | ^~~~~~ -> ABC: `` Compiling: /src/sat/kissat/forward.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c -o src/sat/kissat/forward.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/gates.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c -o src/sat/kissat/gates.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -15187,15 +15221,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/gates.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c -o src/sat/kissat/gates.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/heap.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c -o src/sat/kissat/heap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/ifthenelse.c In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/gates.c:7: + from src/sat/kissat/forward.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -15205,7 +15237,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c -o src/sat/kissat/ifthenelse.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -15408,10 +15439,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/heap.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c -o src/sat/kissat/heap.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/forward.c:4: + from src/sat/kissat/gates.c:7: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -15623,7 +15657,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlineheap.h:5, from src/sat/kissat/heap.c:2: @@ -15838,6 +15871,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/ifthenelse.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c -o src/sat/kissat/ifthenelse.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/import.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c -o src/sat/kissat/import.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -15846,7 +15882,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/kimits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c -o src/sat/kissat/kimits.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/kitten.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c -o src/sat/kissat/kitten.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -15860,6 +15897,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -15869,6 +15907,7 @@ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ @@ -16275,12 +16314,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/kitten.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c -o src/sat/kissat/kitten.o +-> ABC: `` Compiling: /src/sat/kissat/krite.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c -o src/sat/kissat/krite.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/internal.c:5: + from src/sat/kissat/kitten.c:116: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16290,6 +16327,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -16492,10 +16530,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/krite.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c -o src/sat/kissat/krite.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/kimits.c:1: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/internal.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -16598,7 +16636,6 @@ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; @@ -16708,7 +16745,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/learn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c -o src/sat/kissat/learn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -16931,9 +16967,7 @@ 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/learn.c:3: + from src/sat/kissat/kimits.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -17147,9 +17181,10 @@ | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/kucky.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c -o src/sat/kissat/kucky.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/kitten.c:116: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/learn.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -17159,8 +17194,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/minimize.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c -o src/sat/kissat/minimize.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -17364,6 +17397,9 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/minimize.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c -o src/sat/kissat/minimize.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/mode.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c -o src/sat/kissat/mode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -17586,9 +17622,6 @@ 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/phases.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c -o src/sat/kissat/phases.o --> ABC: `` Compiling: /src/sat/kissat/preprocess.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c -o src/sat/kissat/preprocess.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -17602,6 +17635,20 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c -o src/sat/kissat/phases.o +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/minimize.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -17675,7 +17722,6 @@ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; @@ -17719,6 +17765,15 @@ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ @@ -17729,9 +17784,19 @@ src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ +src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] + 117 | assigned *assigned; + | ^~~~~~~~ +src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' + 117 | assigned *assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ +In file included from src/sat/kissat/internal.h:6: +src/sat/kissat/assign.h:15:25: note: declared here + 15 | typedef struct assigned assigned; + | ^~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ @@ -17739,62 +17804,116 @@ src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ +src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] + 118 | flags *flags; + | ^~~~~ +src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' + 118 | flags *flags; + | ^~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ +In file included from src/sat/kissat/internal.h:13: +src/sat/kissat/flags.h:9:22: note: declared here + 9 | typedef struct flags flags; + | ^~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ +src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] + 123 | phases phases; + | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' + 123 | phases phases; + | ^~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ +In file included from src/sat/kissat/internal.h:22: +src/sat/kissat/phases.h:9:23: note: declared here + 9 | typedef struct phases phases; + | ^~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ +src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] + 125 | eliminated eliminated; + | ^~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ +src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' + 125 | eliminated eliminated; + | ^~~~~~~~~~ +src/sat/kissat/internal.h:69:23: note: declared here + 69 | typedef STACK (value) eliminated; + | ^~~~~~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ +src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] + 128 | links *links; + | ^~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ +src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' + 128 | links *links; + | ^~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ +In file included from src/sat/kissat/internal.h:25: +src/sat/kissat/queue.h:12:22: note: declared here + 12 | typedef struct links links; + | ^~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ +src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] + 129 | queue queue; + | ^~~~~ +src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' + 129 | queue queue; + | ^~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ +src/sat/kissat/queue.h:13:22: note: declared here + 13 | typedef struct queue queue; + | ^~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ +src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] + 138 | frames frames; + | ^~~~~~ +src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' + 138 | frames frames; + | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ @@ -17805,95 +17924,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/print.c -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/minimize.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c -o src/sat/kissat/print.o -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ -src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] - 117 | assigned *assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' - 117 | assigned *assigned; - | ^~~~~~~~ -In file included from src/sat/kissat/internal.h:6: -src/sat/kissat/assign.h:15:25: note: declared here - 15 | typedef struct assigned assigned; - | ^~~~~~~~ -src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] - 118 | flags *flags; - | ^~~~~ -src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' - 118 | flags *flags; - | ^~~~~ -In file included from src/sat/kissat/internal.h:13: -src/sat/kissat/flags.h:9:22: note: declared here - 9 | typedef struct flags flags; - | ^~~~~ -src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] - 123 | phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' - 123 | phases phases; - | ^~~~~~ -In file included from src/sat/kissat/internal.h:22: -src/sat/kissat/phases.h:9:23: note: declared here - 9 | typedef struct phases phases; - | ^~~~~~ -src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' - 125 | eliminated eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:69:23: note: declared here - 69 | typedef STACK (value) eliminated; - | ^~~~~~~~~~ -src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] - 128 | links *links; - | ^~~~~ -src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' - 128 | links *links; - | ^~~~~ -In file included from src/sat/kissat/internal.h:25: -src/sat/kissat/queue.h:12:22: note: declared here - 12 | typedef struct links links; - | ^~~~~ -src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] - 129 | queue queue; - | ^~~~~ -src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' - 129 | queue queue; - | ^~~~~ -src/sat/kissat/queue.h:13:22: note: declared here - 13 | typedef struct queue queue; - | ^~~~~ -src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] - 138 | frames frames; - | ^~~~~~ -src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' - 138 | frames frames; - | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; @@ -18024,8 +18054,17 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/preprocess.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c -o src/sat/kissat/preprocess.o +-> ABC: `` Compiling: /src/sat/kissat/print.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c -o src/sat/kissat/print.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/probe.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c -o src/sat/kissat/probe.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/profile.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c -o src/sat/kissat/profile.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/phases.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -18239,6 +18278,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/promote.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c -o src/sat/kissat/promote.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/preprocess.c:2: @@ -18453,16 +18496,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/profile.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c -o src/sat/kissat/profile.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/promote.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c -o src/sat/kissat/promote.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/proof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proof.c -o src/sat/kissat/proof.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/probe.c:6: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -18474,7 +18509,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/propbeyond.c src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -18677,12 +18711,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/propbeyond.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c -o src/sat/kissat/propbeyond.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/propdense.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c -o src/sat/kissat/propdense.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/propinitially.c In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/promote.h:4, from src/sat/kissat/promote.c:1: @@ -18897,21 +18932,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/propinitially.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c -o src/sat/kissat/propinitially.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/proprobe.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c -o src/sat/kissat/proprobe.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/propsearch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c -o src/sat/kissat/propsearch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/queue.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c -o src/sat/kissat/queue.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/propdense.c:2: + from src/sat/kissat/propbeyond.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19124,11 +19151,12 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/proprobe.c In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/propbeyond.c:2: + from src/sat/kissat/propdense.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19340,7 +19368,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/reduce.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c -o src/sat/kissat/proprobe.o +-> ABC: `` Compiling: /src/sat/kissat/propsearch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c -o src/sat/kissat/propsearch.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -19557,15 +19588,21 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/queue.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c -o src/sat/kissat/queue.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/reduce.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c -o src/sat/kissat/reduce.o --> ABC: `` Compiling: /src/sat/kissat/reluctant.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/reluctant.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c -o src/sat/kissat/reluctant.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/propsearch.c:2: + from src/sat/kissat/proprobe.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19780,21 +19817,8 @@ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/queue.c:1: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, - from src/sat/kissat/proprobe.c:2: + from src/sat/kissat/propsearch.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -19996,25 +20020,40 @@ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/reorder.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c -o src/sat/kissat/reorder.o +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/queue.c:1: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ @@ -20209,12 +20248,14 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/reorder.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c -o src/sat/kissat/reorder.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/rephase.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c -o src/sat/kissat/rephase.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/report.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c -o src/sat/kissat/report.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/resize.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c -o src/sat/kissat/resize.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/reluctant.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -20226,6 +20267,7 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -20428,8 +20470,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/report.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c -o src/sat/kissat/report.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/reduce.c:3: @@ -20500,12 +20540,25 @@ src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/reorder.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ @@ -20644,20 +20697,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/reorder.c:4: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -20860,8 +20899,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/resize.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c -o src/sat/kissat/resize.o +-> ABC: `` Compiling: /src/sat/kissat/resolve.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c -o src/sat/kissat/resolve.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/resources.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c -o src/sat/kissat/resources.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/rephase.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -21075,20 +21118,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/resolve.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c -o src/sat/kissat/resolve.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/resources.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c -o src/sat/kissat/resources.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/restart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c -o src/sat/kissat/restart.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/resize.c:3: + from src/sat/kissat/resolve.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -21214,7 +21249,6 @@ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ --> ABC: `` Compiling: /src/sat/kissat/search.c src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ @@ -21255,7 +21289,6 @@ src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c -o src/sat/kissat/search.o src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ @@ -21303,10 +21336,11 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/search.c In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/resolve.c:4: + from src/sat/kissat/resize.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -21518,8 +21552,14 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c -o src/sat/kissat/search.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/shrink.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c -o src/sat/kissat/shrink.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/smooth.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c -o src/sat/kissat/smooth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/restart.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -21733,6 +21773,11 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/sort.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c -o src/sat/kissat/sort.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/stack.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c -o src/sat/kissat/stack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, @@ -21747,8 +21792,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/smooth.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c -o src/sat/kissat/smooth.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -21951,20 +21994,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/sort.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c -o src/sat/kissat/sort.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/stack.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c -o src/sat/kissat/stack.o -> ABC: `` Compiling: /src/sat/kissat/statistics.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/statistics.c -o src/sat/kissat/statistics.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/shrink.c:3: + from src/sat/kissat/smooth.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22176,8 +22209,9 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/smooth.c:2: + from src/sat/kissat/sort.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22187,8 +22221,6 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/strengthen.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c -o src/sat/kissat/strengthen.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -22391,12 +22423,12 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/substitute.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c -o src/sat/kissat/substitute.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/strengthen.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c -o src/sat/kissat/strengthen.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/sort.c:1: + from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/shrink.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22608,6 +22640,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/substitute.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c -o src/sat/kissat/substitute.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/sweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c -o src/sat/kissat/sweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -22616,27 +22652,9 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/tiers.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c -o src/sat/kissat/tiers.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/trail.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c -o src/sat/kissat/trail.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/dense.h:4, - from src/sat/kissat/sweep.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ --> ABC: `` Compiling: /src/sat/kissat/transitive.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c -o src/sat/kissat/transitive.o In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, - from src/sat/kissat/inline.h:4, - from src/sat/kissat/substitute.c:4: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/strengthen.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -22848,9 +22866,31 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -In file included from src/sat/kissat/inlinevector.h:4, +In file included from src/sat/kissat/dense.h:4, + from src/sat/kissat/sweep.c:2: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/sweep.c:3: + from src/sat/kissat/substitute.c:4: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -22860,7 +22900,6 @@ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ @@ -22955,7 +22994,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/watch.h:7: +In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -23054,32 +23093,11 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/utilities.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c -o src/sat/kissat/utilities.o -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/terminate.h:4, - from src/sat/kissat/terminate.c:1: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/strengthen.c:2: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ +-> ABC: `` Compiling: /src/sat/kissat/trail.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c -o src/sat/kissat/trail.o +In file included from src/sat/kissat/inlinevector.h:4, + from src/sat/kissat/inline.h:4, + from src/sat/kissat/sweep.c:3: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -23183,7 +23201,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: +In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -23251,21 +23269,12 @@ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ -src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] - 104 | termination termination; - | ^~~~~~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ -src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' - 104 | termination termination; - | ^~~~~~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ -src/sat/kissat/internal.h:55:28: note: declared here - 55 | typedef struct termination termination; - | ^~~~~~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ @@ -23281,6 +23290,40 @@ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ +src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] + 199 | mode mode; + | ^~~~ +src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' + 199 | mode mode; + | ^~~~ +In file included from src/sat/kissat/internal.h:20: +src/sat/kissat/mode.h:9:21: note: declared here + 9 | typedef struct mode mode; + | ^~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/transitive.c +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/terminate.h:4, + from src/sat/kissat/terminate.c:1: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c -o src/sat/kissat/transitive.o +src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' + 104 | termination termination; + | ^~~~~~~~~~~ +src/sat/kissat/internal.h:55:28: note: declared here + 55 | typedef struct termination termination; + | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ @@ -23304,15 +23347,9 @@ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ -src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] - 199 | mode mode; - | ^~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ -src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' - 199 | mode mode; - | ^~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; @@ -23320,10 +23357,6 @@ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ -In file included from src/sat/kissat/internal.h:20: -src/sat/kissat/mode.h:9:21: note: declared here - 9 | typedef struct mode mode; - | ^~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ @@ -23485,6 +23518,9 @@ 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/utilities.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c -o src/sat/kissat/utilities.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/tiers.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -23698,10 +23734,13 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ +-> ABC: `` Compiling: /src/sat/kissat/vector.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c -o src/sat/kissat/vector.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/trail.c:3: + from src/sat/kissat/transitive.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -23913,17 +23952,15 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/kissat/vector.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c -o src/sat/kissat/vector.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/vivify.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c -o src/sat/kissat/vivify.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/walk.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c -o src/sat/kissat/walk.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/transitive.c:5: + from src/sat/kissat/trail.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -24136,15 +24173,19 @@ 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/warmup.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c -o src/sat/kissat/walk.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c -o src/sat/kissat/warmup.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/watch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c -o src/sat/kissat/watch.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/kissat/weaken.c In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/vector.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ @@ -24353,13 +24394,8 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/kissat/watch.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c -o src/sat/kissat/watch.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/collect.h:4, - from src/sat/kissat/vivify.c:4: + from src/sat/kissat/warmup.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -24571,17 +24607,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -src/sat/kissat/vivify.c:157:13: warning: declaration of 'abc::countrefs abc::vivifier::countrefs' changes meaning of 'countrefs' [-Wchanges-meaning] - 157 | countrefs countrefs; - | ^~~~~~~~~ -src/sat/kissat/vivify.c:157:3: note: used here to mean 'typedef struct abc::countrefs abc::countrefs' - 157 | countrefs countrefs; - | ^~~~~~~~~ -src/sat/kissat/vivify.c:150:26: note: declared here - 150 | typedef STACK (countref) countrefs; - | ^~~~~~~~~ --> ABC: `` Compiling: /src/sat/kissat/weaken.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c -o src/sat/kissat/weaken.o +-> ABC: `` Compiling: /src/sat/cadical/cadicalSolver.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalSolver.c -o src/sat/cadical/cadicalSolver.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/walk.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] @@ -24593,8 +24622,10 @@ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/warmup.c:4: + from src/sat/kissat/collect.h:4, + from src/sat/kissat/vivify.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ @@ -24806,10 +24837,30 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/inlinevector.h:4, +-> ABC: `` Compiling: /src/sat/cadical/cadicalTest.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalTest.c -o src/sat/cadical/cadicalTest.o +src/sat/kissat/vivify.c:157:13: warning: declaration of 'abc::countrefs abc::vivifier::countrefs' changes meaning of 'countrefs' [-Wchanges-meaning] + 157 | countrefs countrefs; + | ^~~~~~~~~ +src/sat/kissat/vivify.c:157:3: note: used here to mean 'typedef struct abc::countrefs abc::countrefs' + 157 | countrefs countrefs; + | ^~~~~~~~~ +src/sat/kissat/vivify.c:150:26: note: declared here + 150 | typedef STACK (countref) countrefs; + | ^~~~~~~~~ +In file included from src/sat/kissat/internal.h:34, + from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/walk.c:5: + from src/sat/kissat/watch.c:3: +src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' + 61 | watch watch; + | ^~~~~ +src/sat/kissat/watch.h:14:21: note: declared here + 14 | typedef union watch watch; + | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -24913,7 +24964,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/watch.h:7: +In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -25012,25 +25063,10 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ --> ABC: `` Compiling: /src/sat/cadical/cadicalSolver.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalSolver.c -o src/sat/cadical/cadicalSolver.o --> ABC: `` Compiling: /src/sat/cadical/cadicalTest.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadicalTest.c -o src/sat/cadical/cadicalTest.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/sat/kissat/internal.h:34, - from src/sat/kissat/inlinevector.h:4, +In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, - from src/sat/kissat/watch.c:3: -src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' - 61 | watch watch; - | ^~~~~ -src/sat/kissat/watch.h:14:21: note: declared here - 14 | typedef union watch watch; - | ^~~~~ + from src/sat/kissat/walk.c:5: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ @@ -25134,7 +25170,7 @@ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ -In file included from src/sat/kissat/internal.h:33: +In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ @@ -25236,8 +25272,6 @@ -> ABC: `` Compiling: /src/sat/cadical/cadical_kitten.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cadical/cadical_kitten.c -o src/sat/cadical/cadical_kitten.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/bdc/bdcCore.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, @@ -25453,7 +25487,6 @@ src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/cadical/cadical_kitten.c:198:14: warning: declaration of 'abc::statistics abc::cadical_kitten::statistics' changes meaning of 'statistics' [-Wchanges-meaning] 198 | statistics statistics; | ^~~~~~~~~~ @@ -25470,12 +25503,20 @@ src/sat/cadical/cadical_kitten.c:2528:16: warning: unused variable 'ignoring' [-Wunused-variable] 2528 | const bool ignoring = i; | ^~~~~~~~ +-> ABC: `` Compiling: /src/bool/bdc/bdcCore.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/bdc/bdcDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/bdc/bdcTable.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/dec/decAbc.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, from /build/reproducible-path/yosys-0.52/abc/src/aig/aig/aig.h:34, from /build/reproducible-path/yosys-0.52/abc/src/aig/saig/saig.h:29, @@ -25491,11 +25532,6 @@ src/sat/bmc/bmcMaj.c:3248:10: note: 'Entry' declared here 3248 | word Entry, Truths[100] = { 0x96, 0xE8 }; | ^~~~~ --> ABC: `` Compiling: /src/bool/bdc/bdcTable.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/dec/decAbc.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decFactor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o @@ -25526,15 +25562,7 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitFactor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c -o src/bool/kit/kitFactor.o --> ABC: `` Compiling: /src/bool/kit/kitGraph.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -o src/bool/kit/kitGraph.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/kit/kitHop.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -o src/bool/kit/kitHop.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/kit/kitIsop.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3863:24: @@ -25555,6 +25583,14 @@ src/sat/bmc/bmcMaj.c:3861:10: note: 'Entry' declared here 3861 | word Entry; int i; | ^~~~~ +-> ABC: `` Compiling: /src/bool/kit/kitGraph.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -o src/bool/kit/kitGraph.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/kit/kitHop.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -o src/bool/kit/kitHop.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/kit/kitIsop.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitPla.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o @@ -25585,10 +25621,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySwap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -o src/bool/lucky/luckySwap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbDec6.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -o src/bool/rsb/rsbDec6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -o src/bool/rsb/rsbMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25607,15 +25643,15 @@ -> ABC: `` Compiling: /src/proof/pdr/pdrInv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/pdr/pdrMan.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/bool/kit/kitDsd.c:21: In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/proof/pdr/pdrMan.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25712,6 +25748,13 @@ -> ABC: `` Compiling: /src/proof/ssc/sscCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': +src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] + 1896 | if ( p->pPars->fVerbose && Status == -1 ) + | ~~~~~~~^~~~~ +src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here + 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; + | ^~~~~~ -> ABC: `` Compiling: /src/proof/ssc/sscSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25722,30 +25765,23 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -o src/proof/ssc/sscUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCheck.c --> ABC: `` Compiling: /src/proof/int/intContain.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/int/intContain.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': -src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] - 1896 | if ( p->pPars->fVerbose && Status == -1 ) - | ~~~~~~~^~~~~ -src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here - 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; - | ^~~~~~ -> ABC: `` Compiling: /src/proof/int/intCtrex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intFrames.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -o src/proof/int/intFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -o src/proof/int/intInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25765,46 +25801,46 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecClass.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o -> ABC: `` Compiling: /src/proof/cec/cecCore.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c -o src/proof/cec/cecCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -o src/proof/cec/cecCorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecIso.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -o src/proof/cec/cecIso.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecPat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecProve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecProve.c -o src/proof/cec/cecProve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -o src/proof/cec/cecSatG.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c -o src/proof/cec/cecSatG2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG3.c --> ABC: `` Compiling: /src/proof/cec/cecSeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c -o src/proof/cec/cecSatG3.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -o src/proof/cec/cecSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/cec/cecSeq.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -o src/proof/cec/cecSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSim.c --> ABC: `` Compiling: /src/proof/cec/cecSolve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -o src/proof/cec/cecSim.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/cec/cecSolve.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSolveG.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c -o src/proof/cec/cecSolveG.o @@ -25814,10 +25850,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSynth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -o src/proof/acec/acecCl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25829,10 +25865,6 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecBo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -o src/proof/acec/acecBo.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/proof/acec/acecRe.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:31, from /build/reproducible-path/yosys-0.52/abc/src/aig/gia/gia.h:34, from src/proof/acec/acecInt.h:29, @@ -25848,9 +25880,13 @@ /build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:267:48: note: call to 'free' here 267 | #define ABC_FREE(obj) ((obj) ? (free((char *) (obj)), (obj) = 0) : 0) | ~~~~^~~~~~~~~~~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/acec/acecRe.c -> ABC: `` Compiling: /src/proof/acec/acecPa.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -o src/proof/acec/acecPa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25910,10 +25946,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c -o src/proof/dch/dchSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c -o src/proof/dch/dchSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSimSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c -o src/proof/dch/dchSimSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -25939,16 +25975,16 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigNode.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigPrime.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -o src/proof/fraig/fraigPrime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o -> ABC: `` Compiling: /src/proof/fraig/fraigTable.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o @@ -25960,10 +25996,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCec.c --> ABC: `` Compiling: /src/proof/fra/fraClass.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/fra/fraClass.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClau.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o @@ -25999,10 +26035,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSat.c --> ABC: `` Compiling: /src/proof/fra/fraSec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/fra/fraSec.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o @@ -26024,10 +26060,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswDyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswFilter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -o src/proof/ssw/sswFilter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26042,16 +26078,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPairs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswRarity.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -o src/proof/ssw/sswRarity.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSemi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26060,13 +26096,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSimSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswUnique.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26092,11 +26128,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigJust.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMan.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -o src/aig/aig/aigMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26105,10 +26141,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26119,17 +26155,17 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPartReg.c +-> ABC: `` Compiling: /src/aig/aig/aigPartSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/aig/aigPartSat.c --> ABC: `` Compiling: /src/aig/aig/aigRepr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigRepr.c -> ABC: `` Compiling: /src/aig/aig/aigRet.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -o src/aig/aig/aigRet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRetF.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26174,19 +26210,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -o src/aig/saig/saigDup.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigInd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c -o src/aig/saig/saigInd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIoa.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c -o src/aig/saig/saigIoa.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIso.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c -o src/aig/saig/saigIso.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIsoFast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c -o src/aig/saig/saigIsoFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIsoSlow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c -o src/aig/saig/saigIsoSlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26203,11 +26239,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetMin.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetStep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -o src/aig/saig/saigScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26219,10 +26255,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimSeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -o src/aig/saig/saigSimSeq.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigStrSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -o src/aig/saig/saigStrSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSwitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -o src/aig/saig/saigSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26288,10 +26324,6 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c -o src/aig/gia/giaCSat3.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaCSatP.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -o src/aig/gia/giaCSatP.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaCone.c:21: @@ -26317,6 +26349,10 @@ /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaCSatP.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -o src/aig/gia/giaCSatP.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCTas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26334,16 +26370,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEdge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c -o src/aig/gia/giaEdge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEmbed.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEnable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEquiv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26385,8 +26421,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaGlitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c -o src/aig/gia/giaGlitch.o --> ABC: `` Compiling: /src/aig/gia/giaHash.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaHash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c -o src/aig/gia/giaHash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIf.c @@ -26399,18 +26435,18 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -o src/aig/gia/giaIiff.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIso.c --> ABC: `` Compiling: /src/aig/gia/giaIso2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c -o src/aig/gia/giaIso.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -o src/aig/gia/giaIso2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaIso2.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -o src/aig/gia/giaIso2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIso3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c -o src/aig/gia/giaIso3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaJf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c -o src/aig/gia/giaJf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaKf.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c -o src/aig/gia/giaKf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaLf.c @@ -26422,6 +26458,9 @@ -> ABC: `` Compiling: /src/aig/gia/giaMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaMem.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaEquiv.c:21: @@ -26435,12 +26474,6 @@ /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaMem.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMfs.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: @@ -26471,6 +26504,9 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaMfs.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMini.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -26513,8 +26549,6 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaMuxes.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: @@ -26545,7 +26579,6 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1200:38: @@ -26616,6 +26649,9 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaMuxes.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -27129,6 +27165,18 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaNf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaOf.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPack.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPat.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -27509,18 +27557,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaNf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaOf.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPack.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPat.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaPack.c:21: @@ -27614,16 +27650,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink6.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink7.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -o src/aig/gia/giaSif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -o src/aig/gia/giaSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSim2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -o src/aig/gia/giaSim2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27656,7 +27692,6 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSupps.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaStg.c:21: @@ -27673,24 +27708,25 @@ /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSweeper.c --> ABC: `` Compiling: /src/aig/gia/giaSwitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSwitch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTis.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTranStoch.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -o src/aig/gia/giaTranStoch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27714,10 +27750,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -o src/aig/ivy/ivyCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27754,6 +27790,12 @@ -> ABC: `` Compiling: /src/aig/ivy/ivyMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -o src/aig/ivy/ivyMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ivy/ivyMulti.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ivy/ivyObj.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)': src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] 400 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); @@ -27767,18 +27809,15 @@ src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ --> ABC: `` Compiling: /src/aig/ivy/ivyMulti.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/ivy/ivyObj.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyOper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyResyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -o src/aig/ivy/ivyResyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ivy/ivyRwr.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: src/aig/ivy/ivyFastMap.c:486:25: warning: array subscript 0 is outside array bounds of 'int[0:18446744073709551615]' [-Warray-bounds=] @@ -27797,44 +27836,18 @@ src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ --> ABC: `` Compiling: /src/aig/ivy/ivyRwr.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivySeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, - from src/aig/gia/gia.h:34, - from src/aig/gia/giaSimBase.c:21: -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': -src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here - 3586 | word Entry; int i; - | ^~~~~ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, - inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: -/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': -src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here - 3586 | word Entry; int i; - | ^~~~~ -> ABC: `` Compiling: /src/aig/ivy/ivyShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -o src/aig/ivy/ivyShow.o --> ABC: `` Compiling: /src/aig/ivy/ivyTable.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ivy/ivyTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -o src/aig/hop/hopBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27874,9 +27887,32 @@ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -o src/bdd/cudd/cuddAddApply.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /build/reproducible-path/yosys-0.52/abc/src/misc/vec/vec.h:29, + from src/aig/gia/gia.h:34, + from src/aig/gia/giaSimBase.c:21: +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': +src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here + 3586 | word Entry; int i; + | ^~~~~ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long*, int)' at /build/reproducible-path/yosys-0.52/abc/src/misc/util/utilTruth.h:1597:15, + inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: +/build/reproducible-path/yosys-0.52/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': +src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here + 3586 | word Entry; int i; + | ^~~~~ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c --> ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o +-> ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27953,20 +27989,20 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c -o src/bdd/cudd/cuddHarwell.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddInit.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -o src/bdd/cudd/cuddLevelQ.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c -o src/bdd/cudd/cuddLinear.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLiteral.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c -o src/bdd/cudd/cuddLiteral.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -27974,11 +28010,11 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -o src/bdd/cudd/cuddMatMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddRead.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -o src/bdd/cudd/cuddRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddRef.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -o src/bdd/cudd/cuddRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28014,13 +28050,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28074,13 +28110,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -o src/bdd/extrab/extraBddThresh.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -o src/bdd/extrab/extraBddTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddUnate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c -o src/bdd/extrab/extraBddUnate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -o src/bdd/dsd/dsdApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28100,41 +28136,41 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -o src/bdd/dsd/dsdTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/epd/epd.c +-> ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -o src/bdd/epd/epd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c -o src/bdd/mtr/mtrBasic.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -o src/bdd/mtr/mtrGroup.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoCore.c --> ABC: `` Compiling: /src/bdd/reo/reoProfile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/reo/reoProfile.c -> ABC: `` Compiling: /src/bdd/reo/reoShuffle.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -o src/bdd/reo/reoShuffle.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSift.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -o src/bdd/reo/reoSift.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSwap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoTransfer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoUnits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -o src/bdd/cas/casCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -o src/bdd/cas/casDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28154,10 +28190,10 @@ g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Constr.c --> ABC: `` Compiling: /src/bdd/llb/llb1Core.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb1Core.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Group.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o @@ -28185,10 +28221,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Core.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c -o src/bdd/llb/llb2Core.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Driver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c -o src/bdd/llb/llb2Driver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Dump.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -o src/bdd/llb/llb2Dump.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28197,10 +28233,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Image.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Image.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -28213,8 +28249,6 @@ -> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c -g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o src/bdd/llb/llb2Dump.c: In function 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)': src/bdd/llb/llb2Dump.c:48:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -28237,6 +28271,8 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c +g++ -c -g -O -I/build/reproducible-path/yosys-0.52/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.52=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Building binary: abc g++ -o abc src/map/if/acd/ac_wrapper.o src/opt/rar/rewire_miaig.o src/opt/eslim/relationGeneration.o src/opt/eslim/eSLIM.o src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/sat/glucose2/AbcGlucose2.o src/sat/glucose2/AbcGlucoseCmd2.o src/sat/glucose2/Glucose2.o src/sat/glucose2/Options2.o src/sat/glucose2/SimpSolver2.o src/sat/glucose2/System2.o src/sat/cadical/cadical_analyze.o src/sat/cadical/cadical_arena.o src/sat/cadical/cadical_assume.o src/sat/cadical/cadical_averages.o src/sat/cadical/cadical_backtrack.o src/sat/cadical/cadical_backward.o src/sat/cadical/cadical_bins.o src/sat/cadical/cadical_block.o src/sat/cadical/cadical_ccadical.o src/sat/cadical/cadical_checker.o src/sat/cadical/cadical_clause.o src/sat/cadical/cadical_collect.o src/sat/cadical/cadical_compact.o src/sat/cadical/cadical_condition.o src/sat/cadical/cadical_config.o src/sat/cadical/cadical_congruence.o src/sat/cadical/cadical_constrain.o src/sat/cadical/cadical_contract.o src/sat/cadical/cadical_cover.o src/sat/cadical/cadical_decide.o src/sat/cadical/cadical_decompose.o src/sat/cadical/cadical_deduplicate.o src/sat/cadical/cadical_definition.o src/sat/cadical/cadical_drattracer.o src/sat/cadical/cadical_elim.o src/sat/cadical/cadical_elimfast.o src/sat/cadical/cadical_ema.o src/sat/cadical/cadical_extend.o src/sat/cadical/cadical_external.o src/sat/cadical/cadical_external_propagate.o src/sat/cadical/cadical_factor.o src/sat/cadical/cadical_file.o src/sat/cadical/cadical_flags.o src/sat/cadical/cadical_flip.o src/sat/cadical/cadical_format.o src/sat/cadical/cadical_frattracer.o src/sat/cadical/cadical_gates.o src/sat/cadical/cadical_idruptracer.o src/sat/cadical/cadical_instantiate.o src/sat/cadical/cadical_internal.o src/sat/cadical/cadical_ipasir.o src/sat/cadical/cadical_lidruptracer.o src/sat/cadical/cadical_limit.o src/sat/cadical/cadical_logging.o src/sat/cadical/cadical_lookahead.o src/sat/cadical/cadical_lratchecker.o src/sat/cadical/cadical_lrattracer.o src/sat/cadical/cadical_lucky.o src/sat/cadical/cadical_message.o src/sat/cadical/cadical_minimize.o src/sat/cadical/cadical_occs.o src/sat/cadical/cadical_options.o src/sat/cadical/cadical_parse.o src/sat/cadical/cadical_phases.o src/sat/cadical/cadical_probe.o src/sat/cadical/cadical_profile.o src/sat/cadical/cadical_proof.o src/sat/cadical/cadical_propagate.o src/sat/cadical/cadical_queue.o src/sat/cadical/cadical_random.o src/sat/cadical/cadical_reap.o src/sat/cadical/cadical_reduce.o src/sat/cadical/cadical_rephase.o src/sat/cadical/cadical_report.o src/sat/cadical/cadical_resources.o src/sat/cadical/cadical_restart.o src/sat/cadical/cadical_restore.o src/sat/cadical/cadical_score.o src/sat/cadical/cadical_shrink.o src/sat/cadical/cadical_signal.o src/sat/cadical/cadical_solution.o src/sat/cadical/cadical_solver.o src/sat/cadical/cadical_stable.o src/sat/cadical/cadical_stats.o src/sat/cadical/cadical_subsume.o src/sat/cadical/cadical_sweep.o src/sat/cadical/cadical_terminal.o src/sat/cadical/cadical_ternary.o src/sat/cadical/cadical_tier.o src/sat/cadical/cadical_transred.o src/sat/cadical/cadical_unstable.o src/sat/cadical/cadical_util.o src/sat/cadical/cadical_var.o src/sat/cadical/cadical_veripbtracer.o src/sat/cadical/cadical_version.o src/sat/cadical/cadical_vivify.o src/sat/cadical/cadical_walk.o src/sat/cadical/cadical_watch.o src/aig/gia/giaRrr.o src/aig/gia/giaTransduction.o src/aig/gia/giaTtopt.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOrchestration.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteEdgelist.o src/base/io/ioWriteGml.o src/base/io/ioWriteHMetis.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnBlast.o src/base/wln/wlnCom.o src/base/wln/wlnGuide.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRead.o src/base/wln/wlnRetime.o src/base/wln/wlnRtl.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbTest.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec66.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilBSet.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilPth.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/rar/rewire_rng.o src/opt/rar/rewire_map.o src/opt/rar/rewire_rar.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/sat/kissat/kissatSolver.o src/sat/kissat/kissatTest.o src/sat/kissat/allocate.o src/sat/kissat/analyze.o src/sat/kissat/ands.o src/sat/kissat/arena.o src/sat/kissat/assign.o src/sat/kissat/averages.o src/sat/kissat/backbone.o src/sat/kissat/backtrack.o src/sat/kissat/build.o src/sat/kissat/bump.o src/sat/kissat/check.o src/sat/kissat/classify.o src/sat/kissat/clause.o src/sat/kissat/collect.o src/sat/kissat/colors.o src/sat/kissat/compact.o src/sat/kissat/config.o src/sat/kissat/congruence.o src/sat/kissat/decide.o src/sat/kissat/deduce.o src/sat/kissat/definition.o src/sat/kissat/dense.o src/sat/kissat/dump.o src/sat/kissat/eliminate.o src/sat/kissat/equivalences.o src/sat/kissat/error.o src/sat/kissat/extend.o src/sat/kissat/factor.o src/sat/kissat/fastel.o src/sat/kissat/file.o src/sat/kissat/flags.o src/sat/kissat/format.o src/sat/kissat/forward.o src/sat/kissat/gates.o src/sat/kissat/heap.o src/sat/kissat/ifthenelse.o src/sat/kissat/import.o src/sat/kissat/internal.o src/sat/kissat/kimits.o src/sat/kissat/kitten.o src/sat/kissat/krite.o src/sat/kissat/learn.o src/sat/kissat/logging.o src/sat/kissat/kucky.o src/sat/kissat/minimize.o src/sat/kissat/mode.o src/sat/kissat/kptions.o src/sat/kissat/phases.o src/sat/kissat/preprocess.o src/sat/kissat/print.o src/sat/kissat/probe.o src/sat/kissat/profile.o src/sat/kissat/promote.o src/sat/kissat/proof.o src/sat/kissat/propbeyond.o src/sat/kissat/propdense.o src/sat/kissat/propinitially.o src/sat/kissat/proprobe.o src/sat/kissat/propsearch.o src/sat/kissat/queue.o src/sat/kissat/reduce.o src/sat/kissat/reluctant.o src/sat/kissat/reorder.o src/sat/kissat/rephase.o src/sat/kissat/report.o src/sat/kissat/resize.o src/sat/kissat/resolve.o src/sat/kissat/resources.o src/sat/kissat/restart.o src/sat/kissat/search.o src/sat/kissat/shrink.o src/sat/kissat/smooth.o src/sat/kissat/sort.o src/sat/kissat/stack.o src/sat/kissat/statistics.o src/sat/kissat/strengthen.o src/sat/kissat/substitute.o src/sat/kissat/sweep.o src/sat/kissat/terminate.o src/sat/kissat/tiers.o src/sat/kissat/trail.o src/sat/kissat/transitive.o src/sat/kissat/utilities.o src/sat/kissat/vector.o src/sat/kissat/vivify.o src/sat/kissat/walk.o src/sat/kissat/warmup.o src/sat/kissat/watch.o src/sat/kissat/weaken.o src/sat/cadical/cadicalSolver.o src/sat/cadical/cadicalTest.o src/sat/cadical/cadical_kitten.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecProve.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSatG2.o src/proof/cec/cecSatG3.o src/proof/cec/cecSeq.o src/proof/cec/cecSim.o src/proof/cec/cecSolve.o src/proof/cec/cecSolveG.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCSat3.o src/aig/gia/giaCSatP.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDecs.o src/aig/gia/giaDeep.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGen.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMinLut.o src/aig/gia/giaMinLut2.o src/aig/gia/giaMulFind.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPat2.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaReshape1.o src/aig/gia/giaReshape2.o src/aig/gia/giaResub.o src/aig/gia/giaResub2.o src/aig/gia/giaResub3.o src/aig/gia/giaResub6.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSatSyn.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSif.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSimBase.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStoch.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSupps.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTranStoch.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/gia/giaBound.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -lreadline -lpthread -lm -ldl -lrt -lbz2 -lz -lstdc++ @@ -28526,46 +28562,54 @@ 'abc' comes from a tarball. Continuing. mkdir -p docs/source/generated mkdir -p docs/source/generated/functional +cp backends/functional/smtlib.cc docs/source/generated/functional/smtlib.cc mkdir -p docs/source/generated/functional +diff -U 20 backends/functional/smtlib.cc backends/functional/smtlib_rosette.cc > docs/source/generated/functional/rosette.diff || exit 0 mkdir -p docs/source/cmd +mkdir -p temp/docs/source/cmd ./yosys -p 'help -dump-cells-json docs/source/generated/cells.json' +cd temp && ./../yosys -p 'help -write-rst-command-reference-manual' >/dev/null make -C docs gen + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Running command `help -dump-cells-json docs/source/generated/cells.json' -- + +End of script. Logfile hash: 435b0c1a21, CPU: user 0.00s system 0.01s, MEM: 16.20 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 100% 1x help (0 sec) +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs' +make examples PYTHONPATH=./share/python3 ./yosys --help > docs/source/generated/yosys || rm docs/source/generated/yosys -cp backends/functional/smtlib.cc docs/source/generated/functional/smtlib.cc -diff -U 20 backends/functional/smtlib.cc backends/functional/smtlib_rosette.cc > docs/source/generated/functional/rosette.diff || exit 0 -mkdir -p temp/docs/source/cmd PYTHONPATH=./share/python3 ./yosys-smtbmc --help > docs/source/generated/yosys-smtbmc || rm docs/source/generated/yosys-smtbmc PYTHONPATH=./share/python3 ./yosys-witness --help > docs/source/generated/yosys-witness || rm docs/source/generated/yosys-witness PYTHONPATH=./share/python3 ./yosys-config --help > docs/source/generated/yosys-config || rm docs/source/generated/yosys-config -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/docs' -make examples -cd temp && ./../yosys -p 'help -write-rst-command-reference-manual' >/dev/null make[4]: Entering directory '/build/reproducible-path/yosys-0.52/docs' -./yosys-filterlib --help 2> docs/source/generated/yosys-filterlib make -C source/code_examples/extensions examples -make -C source/code_examples/fifo examples +cp -ru temp/docs/source/cmd docs/source make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' +make -C source/code_examples/fifo examples +./yosys-filterlib --help 2> docs/source/generated/yosys-filterlib make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' ../../../../yosys fifo.ys -l fifo.out -Q -T make -C source/code_examples/intro examples -../../../../yosys fifo_map.ys -make -C source/code_examples/macc examples +./yosys-abc --help 2> docs/source/generated/yosys-abc make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' +rm -rf temp +make -C source/code_examples/macc examples +make[2]: [Makefile:1071: docs/source/generated/yosys-filterlib] Error 1 (ignored) +../../../../yosys fifo_map.ys make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' make -C source/code_examples/opt examples -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' -make -C source/code_examples/scrambler examples -make -C source/code_examples/selections examples -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' -./yosys-abc --help 2> docs/source/generated/yosys-abc -- Executing script file `fifo.ys' -- $ yosys fifo.v @@ -28573,18 +28617,6 @@ -- Parsing `fifo.v' using frontend ` -vlog2k' -- 1. Executing Verilog-2005 frontend: fifo.v -make[2]: [Makefile:1071: docs/source/generated/yosys-filterlib] Error 1 (ignored) - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `fifo_map.ys' -- - -1. Executing Verilog-2005 frontend: fifo.v Parsing Verilog input from `fifo.v' to AST representation. Storing AST representation for module `$abstract\addr_gen'. Storing AST representation for module `$abstract\fifo'. @@ -28607,19 +28639,6 @@ Removing unused module `$abstract\addr_gen'. Removed 2 unused modules. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Running command `help -dump-cells-json docs/source/generated/cells.json' -- - -End of script. Logfile hash: 435b0c1a21, CPU: user 0.02s system 0.00s, MEM: 16.16 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 100% 1x help (0 sec) - yosys> select -module addr_gen yosys [addr_gen]> select -list @@ -28650,65 +28669,13 @@ yosys> show -format dot -prefix addr_gen_show addr_gen 4. Generating Graphviz representation of design. +../../../../yosys-config --exec --cxx -g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I../../../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER="0.52" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs Writing dot description to `addr_gen_show.dot'. Dumping module addr_gen to page 1. yosys> show -format dot -prefix new_cells_show -notitle @new_cells 5. Generating Graphviz representation of design. -Parsing Verilog input from `fifo.v' to AST representation. -Generating RTLIL representation for module `\addr_gen'. -Generating RTLIL representation for module `\fifo'. -Successfully finished Verilog frontend. -echo on - -yosys> tee -o fifo.stat stat - -yosys> stat - -2. Printing statistics. - -=== fifo === - - Number of wires: 28 - Number of wire bits: 219 - Number of public wires: 9 - Number of public wire bits: 45 - Number of ports: 7 - Number of port bits: 29 - Number of memories: 1 - Number of memory bits: 2048 - Number of processes: 3 - Number of cells: 9 - $add 1 - $logic_and 2 - $logic_not 2 - $memrd 1 - $sub 1 - addr_gen 2 - -=== addr_gen === - - Number of wires: 8 - Number of wire bits: 60 - Number of public wires: 4 - Number of public wire bits: 11 - Number of ports: 4 - Number of port bits: 11 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 2 - Number of cells: 2 - $add 1 - $eq 1 - - -yosys> echo off -echo off - -3. Executing SYNTH_ICE40 pass. - -3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/ice40/cells_sim.v Writing dot description to `new_cells_show.dot'. Dumping selected parts of module addr_gen to page 1. @@ -28759,7 +28726,6 @@ yosys> proc_mux 7.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -make -C source/code_examples/show examples Creating decoders for process `\addr_gen.$proc$fifo.v:0$4'. Creating decoders for process `\addr_gen.$proc$fifo.v:12$1'. 1/1: $0\addr[7:0] @@ -28771,8 +28737,6 @@ yosys> proc_dff 7.9. Executing PROC_DFF pass (convert process syncs to FFs). -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' -../../../../yosys sumprod.ys Creating register for signal `\addr_gen.\addr' using process `\addr_gen.$proc$fifo.v:12$1'. created $adff cell `$procdff$12' with positive edge clock and positive level reset. @@ -28793,22 +28757,78 @@ yosys> show -color maroon3 @new_cells -notitle -format dot -prefix addr_gen_proc 8. Generating Graphviz representation of design. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `fifo_map.ys' -- + +1. Executing Verilog-2005 frontend: fifo.v Writing dot description to `addr_gen_proc.dot'. Dumping module addr_gen to page 1. yosys> opt_expr 9. Executing OPT_EXPR pass (perform const folding). -../../../../yosys-config --exec --cxx -g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -mbranch-protection=standard -Wall -Wextra -ggdb -I../../../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER="0.52" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=52 -DYOSYS_COMMIT=0.52 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs -make -C source/code_examples/stubnets examples Optimizing module addr_gen. -make -C source/code_examples/synth_flow examples -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' -../../../../yosys example_lscd.ys -l example.out -Q -T -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' +Parsing Verilog input from `fifo.v' to AST representation. +Generating RTLIL representation for module `\addr_gen'. +Generating RTLIL representation for module `\fifo'. +Successfully finished Verilog frontend. +echo on + +yosys> tee -o fifo.stat stat + +yosys> stat + +2. Printing statistics. + +=== fifo === + + Number of wires: 28 + Number of wire bits: 219 + Number of public wires: 9 + Number of public wire bits: 45 + Number of ports: 7 + Number of port bits: 29 + Number of memories: 1 + Number of memory bits: 2048 + Number of processes: 3 + Number of cells: 9 + $add 1 + $logic_and 2 + $logic_not 2 + $memrd 1 + $sub 1 + addr_gen 2 + +=== addr_gen === + + Number of wires: 8 + Number of wire bits: 60 + Number of public wires: 4 + Number of public wire bits: 11 + Number of ports: 4 + Number of port bits: 11 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 2 + Number of cells: 2 + $add 1 + $eq 1 + + +yosys> echo off +echo off + +3. Executing SYNTH_ICE40 pass. + +3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/ice40/cells_sim.v yosys> clean Removed 0 unused cells and 5 unused wires. @@ -28818,6 +28838,10 @@ yosys> show -color cornflowerblue @new_cells -notitle -format dot -prefix addr_gen_clean 10. Generating Graphviz representation of design. +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' +make -C source/code_examples/scrambler examples Writing dot description to `addr_gen_clean.dot'. Dumping module addr_gen to page 1. @@ -28826,17 +28850,10 @@ yosys> read_verilog fifo.v 11. Executing Verilog-2005 frontend: fifo.v - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `sumprod.ys' -- - -1. Executing Verilog-2005 frontend: sumprod.v +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' +make -C source/code_examples/selections examples Parsing Verilog input from `fifo.v' to AST representation. Generating RTLIL representation for module `\addr_gen'. Generating RTLIL representation for module `\fifo'. @@ -28852,47 +28869,6 @@ Parameter \MAX_DATA = 256 12.2. Executing AST frontend in derive mode using pre-parsed AST for module `\addr_gen'. - --- Executing script file `example_lscd.ys' -- - -1. Executing Verilog-2005 frontend: example.v -Parsing Verilog input from `sumprod.v' to AST representation. -Generating RTLIL representation for module `\sumprod'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Top module: \sumprod - -2.1.2. Analyzing design hierarchy.. -Top module: \sumprod -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Parameter \MAX_DATA = 256 Generating RTLIL representation for module `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000'. Parameter \MAX_DATA = 256 @@ -28920,8 +28896,6 @@ yosys> proc_rmdead 13.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Marked 2 switch rules as full_case in process $proc$fifo.v:62$26 in module fifo. Marked 1 switch rules as full_case in process $proc$fifo.v:36$18 in module fifo. Marked 2 switch rules as full_case in process $proc$fifo.v:12$34 in module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. @@ -28930,56 +28904,6 @@ yosys> proc_prune 13.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Parsing Verilog input from `example.v' to AST representation. -Generating RTLIL representation for module `\example'. -Successfully finished Verilog frontend. -echo on - -yosys> ls - -1 modules: - example - -yosys> cd example - -yosys [example]> ls - -8 wires: - $0\y[1:0] - $add$example.v:5$2_Y - $ternary$example.v:5$3_Y - a - b - c - clk - y - -2 cells: - $add$example.v:5$2 - $ternary$example.v:5$3 - -1 processes: - $proc$example.v:3$1 - -yosys [example]> dump $2 - - - attribute \src "example.v:5.22-5.27" - cell $add $add$example.v:5$2 - parameter \Y_WIDTH 2 - parameter \B_WIDTH 1 - parameter \A_WIDTH 1 - parameter \B_SIGNED 0 - parameter \A_SIGNED 0 - connect \Y $add$example.v:5$2_Y - connect \B \b - connect \A \a - end - -yosys [example]> cd .. - -yosys> echo off -echo off Removed 0 redundant assignments. Promoted 6 assignments to connections. @@ -29006,13 +28930,6 @@ yosys> proc_mux 13.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). Creating decoders for process `\fifo.$proc$fifo.v:0$33'. Creating decoders for process `\fifo.$proc$fifo.v:62$26'. 1/1: $0\count[8:0] @@ -29031,11 +28948,6 @@ yosys> proc_dff 13.9. Executing PROC_DFF pass (convert process syncs to FFs). -cp -ru temp/docs/source/cmd docs/source -Optimizing module sumprod. - -2.3. Executing FUTURE pass. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' Creating register for signal `\fifo.\count' using process `\fifo.$proc$fifo.v:62$26'. created $adff cell `$procdff$59' with positive edge clock and positive level reset. Creating register for signal `\fifo.\rdata' using process `\fifo.$proc$fifo.v:36$18'. @@ -29056,8 +28968,6 @@ yosys> proc_clean 13.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). - -2.4. Executing OPT_EXPR pass (perform const folding). Removing empty process `fifo.$proc$fifo.v:0$33'. Found and cleaned up 2 empty switches in `\fifo.$proc$fifo.v:62$26'. Removing empty process `fifo.$proc$fifo.v:62$26'. @@ -29071,76 +28981,91 @@ yosys> opt_expr -keepdc 13.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module sumprod. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +make -C source/code_examples/show examples +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' +../../../../yosys sumprod.ys Optimizing module fifo. Optimizing module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. -Finding unused cells or wires in module \sumprod.. -Removed 0 unused cells and 2 unused wires. yosys> select -set new_cells t:$memrd yosys> show -color maroon3 c:fifo_reader -color cornflowerblue @new_cells -notitle -format dot -prefix rdata_proc o:rdata %ci* 14. Generating Graphviz representation of design. - - -2.6. Executing CHECK pass (checking for obvious problems). -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' -make -C source/code_examples/techmap examples -Checking module sumprod... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). Writing dot description to `rdata_proc.dot'. Dumping selected parts of module fifo to page 1. -Optimizing module sumprod. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). yosys> flatten 15. Executing FLATTEN pass (flatten design). Deleting now unused module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. -rm -rf temp -Finding identical cells in module `\sumprod'. -Removed a total of 0 cells. -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \sumprod.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \sumprod. -Performed a total of 0 changes. +-- Executing script file `sumprod.ys' -- -2.7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\sumprod'. -Removed a total of 0 cells. +1. Executing Verilog-2005 frontend: sumprod.v +Parsing Verilog input from `sumprod.v' to AST representation. +Generating RTLIL representation for module `\sumprod'. +Successfully finished Verilog frontend. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' -make[5]: Nothing to be done for 'examples'. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' -Finding unused cells or wires in module \sumprod.. +2. Executing PREP pass. -2.7.7. Executing OPT_EXPR pass (perform const folding). +2.1. Executing HIERARCHY pass (managing design hierarchy). + +2.1.1. Analyzing design hierarchy.. +Top module: \sumprod + +2.1.2. Analyzing design hierarchy.. +Top module: \sumprod +Removed 0 unused modules. + +2.2. Executing PROC pass (convert processes to netlists). + +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +2.2.4. Executing PROC_INIT pass (extract init attributes). + +2.2.5. Executing PROC_ARST pass (detect async resets in processes). + +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). + +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). + +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +2.2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module sumprod. -2.7.8. Finished OPT passes. (There is nothing left to do.) +2.3. Executing FUTURE pass. -2.8. Executing WREDUCE pass (reducing word size of cells). +2.4. Executing OPT_EXPR pass (perform const folding). +Optimizing module sumprod. -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). yosys> clean @@ -29154,25 +29079,42 @@ 16. Generating Graphviz representation of design. Finding unused cells or wires in module \sumprod.. +Removed 0 unused cells and 2 unused wires. + -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -2.11. Executing OPT pass (performing simple optimizations). - -2.11.1. Executing OPT_EXPR pass (perform const folding). +2.6. Executing CHECK pass (checking for obvious problems). Writing dot description to `rdata_flat.dot'. Dumping selected parts of module fifo to page 1. +Checking module sumprod... +Found and reported 0 problems. -yosys> opt_dff +2.7. Executing OPT pass (performing simple optimizations). + +2.7.1. Executing OPT_EXPR pass (perform const folding). + +yosys> opt_dff 17. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module sumprod. -2.11.2. Executing OPT_MERGE pass (detect identical cells). +2.7.2. Executing OPT_MERGE pass (detect identical cells). +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' +../../../../yosys example_lscd.ys -l example.out -Q -T Finding identical cells in module `\sumprod'. Removed a total of 0 cells. -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \sumprod.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \sumprod. +Performed a total of 0 changes. + +2.7.5. Executing OPT_MERGE pass (detect identical cells). +make -C source/code_examples/stubnets examples Adding EN signal on $procdff$59 ($adff) from module fifo (D = $0\count[8:0], Q = \count). Adding EN signal on $flatten\fifo_writer.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_writer.$procmux$53_Y, Q = \fifo_writer.addr). Adding EN signal on $flatten\fifo_reader.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_reader.$procmux$53_Y, Q = \fifo_reader.addr). @@ -29182,6 +29124,36 @@ yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_adffe o:rdata %ci* 18. Generating Graphviz representation of design. +Finding identical cells in module `\sumprod'. +Removed a total of 0 cells. + +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \sumprod.. + +2.7.7. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `rdata_adffe.dot'. +Dumping selected parts of module fifo to page 1. +Optimizing module sumprod. + +2.7.8. Finished OPT passes. (There is nothing left to do.) + +2.8. Executing WREDUCE pass (reducing word size of cells). + +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \sumprod.. + +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +2.11. Executing OPT pass (performing simple optimizations). + +2.11.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module sumprod. + +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\sumprod'. +Removed a total of 0 cells. + +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \sumprod.. 2.11.4. Finished fast OPT passes. @@ -29208,16 +29180,26 @@ Found and reported 0 problems. 3. Generating Graphviz representation of design. -Writing dot description to `rdata_adffe.dot'. -Dumping selected parts of module fifo to page 1. - -yosys> wreduce - -19. Executing WREDUCE pass (reducing word size of cells). Writing dot description to `sumprod_00.dot'. Dumping selected parts of module sumprod to page 1. 4. Generating Graphviz representation of design. +Writing dot description to `sumprod_01.dot'. +Dumping selected parts of module sumprod to page 1. + +5. Generating Graphviz representation of design. +Writing dot description to `sumprod_02.dot'. +Dumping selected parts of module sumprod to page 1. + +6. Generating Graphviz representation of design. + +-- Executing script file `example_lscd.ys' -- + +1. Executing Verilog-2005 frontend: example.v + +yosys> wreduce + +19. Executing WREDUCE pass (reducing word size of cells). Removed top 31 bits (of 32) from port B of cell fifo.$add$fifo.v:66$29 ($add). Removed top 23 bits (of 32) from port Y of cell fifo.$add$fifo.v:66$29 ($add). Removed top 31 bits (of 32) from port B of cell fifo.$sub$fifo.v:68$32 ($sub). @@ -29236,41 +29218,85 @@ yosys> show -notitle -format dot -prefix rdata_wreduce o:rdata %ci* 20. Generating Graphviz representation of design. -Writing dot description to `sumprod_01.dot'. -Dumping selected parts of module sumprod to page 1. - -5. Generating Graphviz representation of design. -Writing dot description to `sumprod_02.dot'. +Writing dot description to `sumprod_03.dot'. Dumping selected parts of module sumprod to page 1. -6. Generating Graphviz representation of design. +7. Generating Graphviz representation of design. Writing dot description to `rdata_wreduce.dot'. Dumping selected parts of module fifo to page 1. yosys> opt_clean 21. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `sumprod_03.dot'. +Writing dot description to `sumprod_04.dot'. Dumping selected parts of module sumprod to page 1. -7. Generating Graphviz representation of design. -Writing dot description to `sumprod_04.dot'. +8. Generating Graphviz representation of design. +Writing dot description to `sumprod_05.dot'. Dumping selected parts of module sumprod to page 1. Finding unused cells or wires in module \fifo.. Removed 0 unused cells and 5 unused wires. - -8. Generating Graphviz representation of design. yosys> memory_dff 22. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Writing dot description to `sumprod_05.dot'. -Dumping selected parts of module sumprod to page 1. -End of script. Logfile hash: ad287e9838, CPU: user 0.04s system 0.00s, MEM: 12.10 MB peak +End of script. Logfile hash: ad287e9838, CPU: user 0.01s system 0.01s, MEM: 12.09 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 5x opt_expr (0 sec), 23% 6x show (0 sec), ... +Time spent: 24% 6x show (0 sec), 22% 5x opt_expr (0 sec), ... +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' +Parsing Verilog input from `example.v' to AST representation. +Generating RTLIL representation for module `\example'. +Successfully finished Verilog frontend. +echo on + +yosys> ls + +1 modules: + example + +yosys> cd example + +yosys [example]> ls + +8 wires: + $0\y[1:0] + $add$example.v:5$2_Y + $ternary$example.v:5$3_Y + a + b + c + clk + y + +2 cells: + $add$example.v:5$2 + $ternary$example.v:5$3 + +1 processes: + $proc$example.v:3$1 + +yosys [example]> dump $2 + + + attribute \src "example.v:5.22-5.27" + cell $add $add$example.v:5$2 + parameter \Y_WIDTH 2 + parameter \B_WIDTH 1 + parameter \A_WIDTH 1 + parameter \B_SIGNED 0 + parameter \A_SIGNED 0 + connect \Y $add$example.v:5$2_Y + connect \B \b + connect \A \a + end + +yosys [example]> cd .. + +yosys> echo off +echo off +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' Checking read port `\data'[0] in module `\fifo': merging output FF to cell. Write port 0: non-transparent. @@ -29279,6 +29305,10 @@ yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_memrdv2 o:rdata %ci* 23. Generating Graphviz representation of design. +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' +make -C source/code_examples/synth_flow examples Writing dot description to `rdata_memrdv2.dot'. Dumping selected parts of module fifo to page 1. @@ -29305,7 +29335,6 @@ yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_alumacc o:rdata %ci* 25. Generating Graphviz representation of design. -make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' Writing dot description to `rdata_alumacc.dot'. Dumping selected parts of module fifo to page 1. @@ -29324,6 +29353,13 @@ Dumping selected parts of module fifo to page 1. UC Berkeley, ABC 1.01 (compiled May 4 2025 16:37:33) make[2]: [Makefile:1071: docs/source/generated/yosys-abc] Error 1 (ignored) +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' +make -C source/code_examples/techmap examples +make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' +make[5]: Nothing to be done for 'examples'. +make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/ice40/cells_sim.v' to AST representation. Generating RTLIL representation for module `\SB_IO'. Generating RTLIL representation for module `\SB_GB_IO'. @@ -30925,9 +30961,9 @@ yosys> echo off echo off -End of script. Logfile hash: 5869c2ee99, CPU: user 1.10s system 0.03s, MEM: 30.27 MB peak +End of script. Logfile hash: 5869c2ee99, CPU: user 1.08s system 0.00s, MEM: 30.29 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 34% 21x read_verilog (0 sec), 28% 11x techmap (0 sec), ... +Time spent: 33% 21x read_verilog (0 sec), 30% 11x techmap (0 sec), ... make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' ../../../../yosys -QTl test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' -f verilog absval_ref.v ../../../../yosys -QTl test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' -f verilog absval_ref.v @@ -30947,7 +30983,6 @@ bar Modules in current design: absval_ref (4 wires, 2 cells) -mv test0.log_new test0.log -- Parsing `absval_ref.v' using frontend `verilog' -- @@ -31018,6 +31053,8 @@ connect \Y \y end end +mv test0.log_new test0.log +mv test1.log_new test1.log -- Parsing `sigmap_test.v' using frontend `verilog' -- @@ -31051,7 +31088,6 @@ Log message #7. Log message #8. Log message #9. -mv test1.log_new test1.log mv test2.log_new test2.log make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/extensions' make[4]: Leaving directory '/build/reproducible-path/yosys-0.52/docs' @@ -31064,24 +31100,6 @@ make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' make[6]: Nothing to be done for 'dots'. make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/fifo' -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' -../../../../yosys counter.ys -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' -../../../../yosys opt_share.ys -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' -../../../../yosys scrambler.ys -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' -../../../../yosys macc_simple_test.ys -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' -../../../../yosys select.ys -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' -../../../../yosys -p 'prep -top splice_demo; show -format dot -prefix splice' splice.v -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' -make[6]: Nothing to be done for 'dots'. -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' -../../../../yosys counter.ys -../../../../yosys macc_xilinx_test.ys -../../../../yosys opt_muxtree.ys /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -31097,36 +31115,13 @@ Writing dot description to `test1.dot'. Dumping module absval to page 1. -End of script. Logfile hash: 2e5f50e91f, CPU: user 0.01s system 0.00s, MEM: 7.96 MB peak +End of script. Logfile hash: 2e5f50e91f, CPU: user 0.00s system 0.00s, MEM: 7.95 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 93% 1x show (0 sec), 6% 1x test1 (0 sec) - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `opt_share.ys' -- - -1. Executing Verilog-2005 frontend: < | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `macc_simple_test.ys' -- - -1. Executing Verilog-2005 frontend: macc_simple_test.v -Parsing Verilog input from `< | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `scrambler.ys' -- - -1. Executing Verilog-2005 frontend: scrambler.v -Parsing Verilog input from `splice.v' to AST representation. -Storing AST representation for module `$abstract\splice_demo'. -Successfully finished Verilog frontend. - --- Running command `prep -top splice_demo; show -format dot -prefix splice' -- - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.2. Executing AST frontend in derive mode using pre-parsed AST for module `\splice_demo'. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `select.ys' -- - -1. Executing Verilog-2005 frontend: select.v -Generating RTLIL representation for module `\splice_demo'. - -2.2.1. Analyzing design hierarchy.. -Top module: \splice_demo - -2.2.2. Analyzing design hierarchy.. -Top module: \splice_demo -Removing unused module `$abstract\splice_demo'. -Removed 1 unused modules. +3. Generating Graphviz representation of design. +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. -2.3. Executing PROC pass (convert processes to netlists). +4. Executing PROC pass (convert processes to netlists). -2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. -2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. Removed a total of 0 dead cases. -2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. -2.3.4. Executing PROC_INIT pass (extract init attributes). +4.4. Executing PROC_INIT pass (extract init attributes). -2.3.5. Executing PROC_ARST pass (detect async resets in processes). +4.5. Executing PROC_ARST pass (detect async resets in processes). -2.3.6. Executing PROC_ROM pass (convert switches to ROMs). +4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. + -2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `macc_xilinx_test.ys' -- - -1. Executing Verilog-2005 frontend: macc_xilinx_test.v - -2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `scrambler.v' to AST representation. -Generating RTLIL representation for module `\scrambler'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. -Removed a total of 0 dead cases. +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +../../../../yosys counter.ys - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +4.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. --- Executing script file `counter.ys' -- +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -1. Executing Verilog-2005 frontend: counter.v -Removed 0 redundant assignments. -Promoted 2 assignments to connections. +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. -3.4. Executing PROC_INIT pass (extract init attributes). +4.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. -3.5. Executing PROC_ARST pass (detect async resets in processes). +5. Executing OPT pass (performing simple optimizations). -3.6. Executing PROC_ROM pass (convert switches to ROMs). +5.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. -2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +5.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Converted 0 switches. +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Cleaned up 0 empty switches. - -2.3.12. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `select.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. -Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. - 1/1: $1\xs[31:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Top module: \test - -2.1.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 2 assignments to connections. +5.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -2.2.4. Executing PROC_INIT pass (extract init attributes). +5.6. Executing OPT_DFF pass (perform DFF optimizations). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -31314,19 +31226,9 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `opt_muxtree.ys' -- - -1. Executing Verilog-2005 frontend: < 4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Writing dot description to `macc_simple_test_00a.dot'. -Dumping module test to page 1. Creating decoders for process `\counter.$proc$counter.v:6$1'. 1/1: $0\count[1:0] 4.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `$__mul_wrapper'. -Generating RTLIL representation for module `$__add_wrapper'. -Successfully finished Verilog frontend. - -3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v - -End of script. Logfile hash: 7082042be4, CPU: user 0.01s system 0.00s, MEM: 9.84 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 56% 1x clean (0 sec), 24% 1x show (0 sec), ... - -4. Executing EXTRACT pass (map subcircuits to cells). -Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. -Generating RTLIL representation for module `\DSP48_MACC'. -Successfully finished Verilog frontend. - -4. Executing HIERARCHY pass (managing design hierarchy). - -2.5. Executing OPT_EXPR pass (perform const folding). - -4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -4.2. Executing PROC pass (convert processes to netlists). - -4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -4.2.4. Executing PROC_INIT pass (extract init attributes). - -4.2.5. Executing PROC_ARST pass (detect async resets in processes). - -4.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -4.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Optimizing module test. - - -2.3. Executing FUTURE pass. -Optimizing module splice_demo. - -2.6. Executing OPT_CLEAN pass (remove unused cells and wires). - -4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module scrambler. - 4.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. @@ -31514,33 +31285,49 @@ Cleaned up 2 empty switches. 4.12. Executing OPT_EXPR pass (perform const folding). -gvpack -u -o opt_share.dot opt_share_full.dot Optimizing module counter. 5. Executing OPT pass (performing simple optimizations). -Running muxtree optimizer on module \after.. + +5.1. Executing OPT_EXPR pass (perform const folding). +Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). +Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. +Removed 2 unused cells and 5 unused wires. + + +5.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. - dead port 2/2 on $mux $ternary$< -Removed 0 unused cells and 3 unused wires. + No muxes found in this module. +Removed 0 multiplexer ports. -3. Generating Graphviz representation of design. +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. -5.1. Executing OPT_EXPR pass (perform const folding). +5.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -2.4. Executing OPT_EXPR pass (perform const folding). -Optimizing module macc_16_16_32. +5.13. Executing OPT_DFF pass (perform DFF optimizations). -4.3. Executing OPT_CLEAN pass (remove unused cells and wires). +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +5.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. +../../../../yosys counter.ys Optimizing module counter. 5.2. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `opt_muxtree_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. Finding identical cells in module `\counter'. Removed a total of 0 cells. @@ -31557,82 +31344,50 @@ Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \splice_demo.. -Removed 0 unused cells and 2 unused wires. - - -2.7. Executing CHECK pass (checking for obvious problems). - -End of script. Logfile hash: b6e098eb19, CPU: user 0.01s system 0.00s, MEM: 9.71 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 53% 1x clean (0 sec), 28% 1x show (0 sec), ... -Checking module splice_demo... -Found and reported 0 problems. - -2.8. Executing OPT pass (performing simple optimizations). - -2.8.1. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). -Optimizing module test. -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Removed 0 unused cells and 2 unused wires. +5.16. Finished OPT passes. (There is nothing left to do.) -5. Generating Graphviz representation of design. +6. Executing MEMORY pass. + +6.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Performed a total of 0 transformations. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `counter.ys' -- +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -1. Executing Verilog-2005 frontend: counter.v -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -4.4. Creating graphs for SubCircuit library. +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Finding unused cells or wires in module \counter.. Removed 2 unused cells and 5 unused wires. + +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). 5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module splice_demo. -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. - -2.8.2. Executing OPT_MERGE pass (detect identical cells). -Top module: \counter - -2.2. Analyzing design hierarchy.. -Writing dot description to `macc_xilinx_test1a.dot'. -Dumping module test1 to page 1. -Top module: \counter -Removed 0 unused modules. - -3. Generating Graphviz representation of design. +Finding unused cells or wires in module \counter.. -6. Generating Graphviz representation of design. +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Optimizing module counter. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. @@ -31643,92 +31398,76 @@ Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). -Removed 0 unused cells and 5 unused wires. - -4. Executing SUBMOD pass (moving cells to submodules as requested). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). -gvpack -u -o opt_muxtree.dot opt_muxtree_full.dot +Finding unused cells or wires in module \counter.. + +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + +7. Executing OPT pass (performing simple optimizations). + +7.1. Executing OPT_EXPR pass (perform const folding). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\splice_demo'. +Optimizing module counter. + +7.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. Removed a total of 0 cells. -2.8.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \splice_demo.. +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. -2.8.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \splice_demo. +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. Performed a total of 0 changes. -2.8.5. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. -Writing dot description to `macc_xilinx_test2a.dot'. -Dumping module test2 to page 1. - -4. Executing PROC pass (convert processes to netlists). - -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. +7.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -4.5. Running solver from SubCircuit library. -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. +7.6. Executing OPT_DFF pass (perform DFF optimizations). -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. -4.4. Executing PROC_INIT pass (extract init attributes). +7.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. -4.5. Executing PROC_ARST pass (detect async resets in processes). +7.9. Finished OPT passes. (There is nothing left to do.) -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - +8. Executing FSM pass (extract and optimize FSM). -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +8.1. Executing FSM_DETECT pass (finding FSMs in design). -7. Executing TECHMAP pass (map to technology primitives). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 6 unused wires. -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] +8.2. Executing FSM_EXTRACT pass (extracting FSM from design). -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). +8.3. Executing FSM_OPT pass (simple optimizations of FSMs). -7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v +8.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. -5.15. Executing OPT_EXPR pass (perform const folding). - +8.5. Executing FSM_OPT pass (simple optimizations of FSMs). -2.6. Executing CHECK pass (checking for obvious problems). -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. +8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). -4.6. Substitute SubCircuits with cells. -Finding identical cells in module `\splice_demo'. -Removed a total of 0 cells. +8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). -2.8.6. Executing OPT_CLEAN pass (remove unused cells and wires). +8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_swap_ports'. -Successfully finished Verilog frontend. +9. Executing OPT pass (performing simple optimizations). -7.2. Continuing TECHMAP pass. +9.1. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \counter.. + +5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.16. Finished OPT passes. (There is nothing left to do.) @@ -31739,26 +31478,6 @@ Performed a total of 0 transformations. 6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$8 -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. - -4.12. Executing OPT_EXPR pass (perform const folding). Performed a total of 0 transformations. 6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). @@ -31766,36 +31485,16 @@ 6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Checking module test... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). 6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Warning: node n4 in graph[1] uut already defined -Some nodes will be renamed. -Finding unused cells or wires in module \splice_demo.. - -2.8.7. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \counter.. 6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul. 6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 6.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module counter. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Removed 0 unused cells and 1 unused wires. - -5. Generating Graphviz representation of design. Finding unused cells or wires in module \counter.. 6.10. Executing MEMORY_COLLECT pass (generating $mem cells). @@ -31805,33 +31504,13 @@ 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). - -5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Optimizing module test. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -5.2. Continuing show pass. - -4.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module splice_demo. - -2.8.8. Finished OPT passes. (There is nothing left to do.) Optimizing module counter. 7.2. Executing OPT_MERGE pass (detect identical cells). - -2.9. Executing WREDUCE pass (reducing word size of cells). - -2.10. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -../../../../yosys counter.ys Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. @@ -31842,68 +31521,41 @@ Performed a total of 0 changes. 7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. - -Removed a total of 1 cells. - -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Optimizing module counter. Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.6. Executing OPT_DFF pass (perform DFF optimizations). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Writing dot description to `macc_simple_test_00b.dot'. -Dumping module test to page 1. - Optimizing cells in module \test. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). -6. Executing Verilog-2005 frontend: macc_simple_test_01.v +9.2. Executing OPT_MERGE pass (detect identical cells). 7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -No more expansions possible. -Optimizing module counter. - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \counter.. -Parsing Verilog input from `macc_simple_test_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -7. Executing HIERARCHY pass (managing design hierarchy). - -7.8. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -7.1. Analyzing design hierarchy.. -Top module: \test +9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -7.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Finding unused cells or wires in module \scrambler.. -Warning: node n2 in graph[1] uut already defined +9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. -4.2. Continuing SUBMOD pass. -Some nodes will be renamed. -Finding unused cells or wires in module \splice_demo.. -Finding identical cells in module `\test'. +9.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. Removed a total of 0 cells. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +9.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding unused cells or wires in module \counter.. -2.11. Executing MEMORY_COLLECT pass (generating $mem cells). +7.8. Executing OPT_EXPR pass (perform const folding). -2.12. Executing OPT pass (performing simple optimizations). +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. -2.12.1. Executing OPT_EXPR pass (perform const folding). +9.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 7.9. Finished OPT passes. (There is nothing left to do.) @@ -31911,51 +31563,25 @@ 8. Executing FSM pass (extract and optimize FSM). 8.1. Executing FSM_DETECT pass (finding FSMs in design). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' +../../../../yosys macc_simple_test.ys 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). -Creating submodule xorshift32 (\xorshift32) of module \scrambler. - signal $1\xs[31:0]: input \n1 - signal $0\xs[31:0]: output \n2 - signal $xor$scrambler.v:9$3_Y: internal - signal $shr$scrambler.v:10$4_Y: internal - signal $xor$scrambler.v:10$5_Y: internal - signal $shl$scrambler.v:11$6_Y: internal - cell $xor$scrambler.v:9$3 ($xor) - cell $xor$scrambler.v:11$7 ($xor) - cell $xor$scrambler.v:10$5 ($xor) -../../../../yosys opt_merge.ys +Optimizing module counter. -5. Generating Graphviz representation of design. +9.9. Finished OPT passes. (There is nothing left to do.) + +10. Generating Graphviz representation of design. 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Optimizing module splice_demo. -Removed 0 unused cells and 1 unused wires. - -8. Generating Graphviz representation of design. +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. Finding unused cells or wires in module \counter.. +11. Executing TECHMAP pass (map to technology primitives). + 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). @@ -31964,38 +31590,62 @@ 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). -2.12.2. Executing OPT_MERGE pass (detect identical cells). - 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). -8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `macc_simple_test.ys' -- + +1. Executing Verilog-2005 frontend: macc_simple_test.v +Parsing Verilog input from `macc_simple_test.v' to AST representation. +Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. -8.2. Continuing show pass. -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 1 unused wires. - +2. Executing HIERARCHY pass (managing design hierarchy). -2.7.7. Executing OPT_EXPR pass (perform const folding). +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `counter.ys' -- + +1. Executing Verilog-2005 frontend: counter.v Optimizing module counter. -Writing dot description to `scrambler_p01.dot'. -Dumping module scrambler to page 1. 9.2. Executing OPT_MERGE pass (detect identical cells). +Parsing Verilog input from `counter.v' to AST representation. +Generating RTLIL representation for module `\counter'. +Successfully finished Verilog frontend. -6. Generating Graphviz representation of design. -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). +2. Executing HIERARCHY pass (managing design hierarchy). -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\splice_demo'. -Removed a total of 0 cells. +2.1. Analyzing design hierarchy.. +Top module: \counter -2.12.3. Executing OPT_CLEAN pass (remove unused cells and wires). +2.2. Analyzing design hierarchy.. +Top module: \counter +Removed 0 unused modules. + +3. Generating Graphviz representation of design. Finding identical cells in module `\counter'. Removed a total of 0 cells. @@ -32010,368 +31660,315 @@ Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `macc_simple_test_01a.dot'. -Dumping module test to page 1. - -9. Executing EXTRACT pass (map subcircuits to cells). - -9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.6. Executing OPT_DFF pass (perform DFF optimizations). -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. +../../../../yosys macc_xilinx_test.ys +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. -9.2. Executing PROC pass (convert processes to netlists). +4. Executing PROC pass (convert processes to netlists). -9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. -9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. Removed a total of 0 dead cases. -9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. -9.2.4. Executing PROC_INIT pass (extract init attributes). -Optimizing module test. - -9.2.5. Executing PROC_ARST pass (detect async resets in processes). +4.4. Executing PROC_INIT pass (extract init attributes). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -9.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +9.6. Executing OPT_DFF pass (perform DFF optimizations). -9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +4.5. Executing PROC_ARST pass (detect async resets in processes). -9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +4.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) -Writing dot description to `scrambler_p02.dot'. -Dumping module xorshift32 to page 1. +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +4.9. Executing PROC_DFF pass (convert process syncs to FFs). -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Finding unused cells or wires in module \splice_demo.. +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. -9.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -Removed 0 unused cells and 8 unused wires. +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -8. Generating Graphviz representation of design. +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. -2.12.4. Finished fast OPT passes. - Optimizing cells in module \test. -Performed a total of 0 changes. +4.12. Executing OPT_EXPR pass (perform const folding). +Removed 0 unused cells and 1 unused wires. -2.7.11. Executing OPT_MERGE pass (detect identical cells). +3. Generating Graphviz representation of design. -2.13. Printing statistics. +3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Finding unused cells or wires in module \counter.. +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -9.8. Executing OPT_EXPR pass (perform const folding). +3.2. Continuing show pass. -=== splice_demo === +9.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. - Number of wires: 8 - Number of wire bits: 26 - Number of public wires: 8 - Number of public wire bits: 26 - Number of ports: 8 - Number of port bits: 26 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 2 - $neg 1 - $not 1 +5. Executing OPT pass (performing simple optimizations). -2.14. Executing CHECK pass (checking for obvious problems). -echo on +5.1. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `macc_simple_test_00a.dot'. +Dumping module test to page 1. -yosys> cd xorshift32 +4. Executing EXTRACT pass (map subcircuits to cells). -yosys [xorshift32]> rename n2 in -Renaming wire n2 to in in module xorshift32. +4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Optimizing module counter. +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -yosys [xorshift32]> rename n1 out -Renaming wire n1 to out in module xorshift32. +4.2. Executing PROC pass (convert processes to netlists). -yosys [xorshift32]> eval -set in 1 -show out +4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -7. Executing EVAL pass (evaluate the circuit given an input). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - +4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -5.8. Executing OPT_EXPR pass (perform const folding). +4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +4.2.4. Executing PROC_INIT pass (extract init attributes). -9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +4.2.5. Executing PROC_ARST pass (detect async resets in processes). -9.2.12. Executing OPT_EXPR pass (perform const folding). -Checking module splice_demo... -Found and reported 0 problems. +4.2.6. Executing PROC_ROM pass (convert switches to ROMs). -3. Generating Graphviz representation of design. -Failed to evaluate signal \out: Missing value for \out. +9.9. Finished OPT passes. (There is nothing left to do.) +Converted 0 switches. -yosys [xorshift32]> eval -set in 270369 -show out +4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -8. Executing EVAL pass (evaluate the circuit given an input). -Optimizing module counter. +4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -9.9. Finished OPT passes. (There is nothing left to do.) -Finding identical cells in module `\test'. -Removed a total of 0 cells. +10. Generating Graphviz representation of design. -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +4.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Optimizing module counter. -10. Generating Graphviz representation of design. -Writing dot description to `macc_xilinx_test1b.dot'. -Dumping module test1 to page 1. -Failed to evaluate signal \out: Missing value for \out. +5.2. Executing OPT_MERGE pass (detect identical cells). -yosys [xorshift32]> sat -set out 632435482 +4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -9. Executing SAT pass (solving SAT problems in the circuit). +4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -9. Generating Graphviz representation of design. +4.2.12. Executing OPT_EXPR pass (perform const folding). Writing dot description to `counter_01.dot'. Dumping module counter to page 1. -Optimizing module macc_16_16_32. -Writing dot description to `splice.dot'. -Dumping module splice_demo to page 1. 11. Executing TECHMAP pass (map to technology primitives). -9.3. Executing OPT_CLEAN pass (remove unused cells and wires). - 11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -End of script. Logfile hash: 2eeb6955f1, CPU: user 0.03s system 0.00s, MEM: 12.09 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 34% 5x opt_expr (0 sec), 26% 4x opt_clean (0 sec), ... -Optimizing module counter. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. + -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. -5.12. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `macc_xilinx_test2b.dot'. -Dumping module test2 to page 1. - -10. Executing TECHMAP pass (map to technology primitives). - -10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v -Finding unused cells or wires in module \test.. +5.5. Executing OPT_MERGE pass (detect identical cells). +Optimizing module macc_16_16_32. -2.7.13. Executing OPT_EXPR pass (perform const folding). +4.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. -5.13. Executing OPT_DFF pass (perform DFF optimizations). +5.6. Executing OPT_DFF pass (perform DFF optimizations). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. -9.4. Creating graphs for SubCircuit library. - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `counter.ys' -- - -1. Executing Verilog-2005 frontend: counter.v -Optimizing module test. - -2.7.14. Finished OPT passes. (There is nothing left to do.) - -2.8. Executing WREDUCE pass (reducing word size of cells). +4.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. -9.5. Running solver from SubCircuit library. -Finding unused cells or wires in module \counter.. +4.5. Running solver from SubCircuit library. +Solving for needle_macc_16_16_32 in haystack_test. +Found 1 matches. -5.15. Executing OPT_EXPR pass (perform const folding). +4.6. Substitute SubCircuits with cells. -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. -Successfully finished Verilog frontend. +Match #0: (needle_macc_16_16_32 in haystack_test) + $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y + $const$0 -> $const$0 \Y:\Y + $const$1 -> $const$1 \Y:\Y + $const$x -> $const$x \Y:\Y + $const$z -> $const$z \Y:\Y + $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$8 +Removed 0 unused cells and 1 unused wires. -2. Executing HIERARCHY pass (managing design hierarchy). +5. Generating Graphviz representation of design. -2.1. Analyzing design hierarchy.. -Top module: \counter +5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -2.2. Analyzing design hierarchy.. -Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_wrap'. -Generating RTLIL representation for module `\add_wrap'. +5.2. Continuing show pass. +Writing dot description to `macc_simple_test_00b.dot'. +Dumping module test to page 1. + +6. Executing Verilog-2005 frontend: macc_simple_test_01.v +Parsing Verilog input from `macc_simple_test_01.v' to AST representation. +Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. -10.2. Continuing TECHMAP pass. +7. Executing HIERARCHY pass (managing design hierarchy). -Setting up SAT problem: -Import set-constraint: \out = 632435482 -Final constraint equation: \out = 632435482 -Imported 3 cells to SAT database. +7.1. Analyzing design hierarchy.. +Top module: \test -Solving problem with 665 variables and 1735 clauses.. -Top module: \counter +7.2. Analyzing design hierarchy.. +Top module: \test Removed 0 unused modules. +Removed 0 unused cells and 1 unused wires. -3. Generating Graphviz representation of design. -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. +8. Generating Graphviz representation of design. -9.6. Substitute SubCircuits with cells. +8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$19 -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. +8.2. Continuing show pass. +Writing dot description to `macc_simple_test_01a.dot'. +Dumping module test to page 1. -4. Executing PROC pass (convert processes to netlists). +9. Executing EXTRACT pass (map subcircuits to cells). -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. + +9.2. Executing PROC pass (convert processes to netlists). + +9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Optimizing module counter. -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. +9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. -4.4. Executing PROC_INIT pass (extract init attributes). - -4.5. Executing PROC_ARST pass (detect async resets in processes). +9.2.4. Executing PROC_INIT pass (extract init attributes). -4.6. Executing PROC_ROM pass (convert switches to ROMs). +9.2.5. Executing PROC_ARST pass (detect async resets in processes). -5.16. Finished OPT passes. (There is nothing left to do.) +9.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -6. Executing MEMORY pass. +9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -6.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. +9.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Finding unused cells or wires in module \test.. +9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +9.2.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module macc_16_16_32. --- Executing script file `opt_merge.ys' -- +9.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \macc_16_16_32.. +Removed 0 unused cells and 1 unused wires. + -1. Executing Verilog-2005 frontend: < $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y + $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y + new cell: $extract$\macc_16_16_32$19 +Finding unused cells or wires in module \counter.. +Removed 2 unused cells and 5 unused wires. + + +5.8. Executing OPT_EXPR pass (perform const folding). Removed 0 unused cells and 1 unused wires. 10. Generating Graphviz representation of design. +Optimizing module counter. 10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Performed a total of 0 transformations. - -6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +5.9. Rerunning OPT passes. (Maybe there is more to do..) -6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `< -Removed a total of 1 cells. -Removed 0 unused cells and 4 unused wires. - -3. Generating Graphviz representation of design. -Finding unused cells or wires in module \counter.. -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -11.2. Continuing TECHMAP pass. - -6.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - -7. Executing OPT pass (performing simple optimizations). - -7.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 13.2. Continuing show pass. -../../../../yosys scrambler.ys -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. -Finding unused cells or wires in module \test.. -2.11.4. Finished fast OPT passes. - -2.12. Printing statistics. -Writing dot description to `macc_simple_test_02a.dot'. -Dumping module test to page 1. +5.16. Finished OPT passes. (There is nothing left to do.) -=== test === +6. Executing MEMORY pass. - Number of wires: 9 - Number of wire bits: 114 - Number of public wires: 8 - Number of public wire bits: 98 - Number of ports: 4 - Number of port bits: 34 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 6 - $add 1 - $dff 2 - $mux 1 - $sub 1 - $xor 1 +6.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. -2.13. Executing CHECK pass (checking for obvious problems). -Optimizing module counter. +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Writing dot description to `macc_simple_test_02a.dot'. +Dumping module test to page 1. 14. Executing EXTRACT pass (map subcircuits to cells). -Writing dot description to `opt_merge_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. 14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v - -5.2. Executing OPT_MERGE pass (detect identical cells). -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. - -End of script. Logfile hash: dedbdef5c2, CPU: user 0.01s system 0.01s, MEM: 10.01 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 39% 1x clean (0 sec), 25% 1x show (0 sec), ... Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. @@ -32521,7 +32029,6 @@ 14.2.4. Executing PROC_INIT pass (extract init attributes). 14.2.5. Executing PROC_ARST pass (detect async resets in processes). -Optimizing module counter. 14.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. @@ -32530,11 +32037,7 @@ 14.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -7.2. Executing OPT_MERGE pass (detect identical cells). - 14.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Checking module test... -Found and reported 0 problems. 14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). @@ -32542,92 +32045,22 @@ Cleaned up 0 empty switches. 14.2.12. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -7.5. Executing OPT_MERGE pass (detect identical cells). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.6. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module macc_16_16_32. 14.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -gvpack -u -o opt_merge.dot opt_merge_full.dot - -3. Generating Graphviz representation of design. Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. -Finding unused cells or wires in module \counter.. 14.4. Creating graphs for SubCircuit library. - -7.8. Executing OPT_EXPR pass (perform const folding). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `select.dot'. -Dumping module test to page 1. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 14.5. Running solver from SubCircuit library. - -End of script. Logfile hash: f11d6793ac, CPU: user 0.04s system 0.00s, MEM: 11.99 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 30% 6x opt_expr (0 sec), 27% 5x opt_clean (0 sec), ... -Optimizing module counter. - -7.9. Finished OPT passes. (There is nothing left to do.) - -8. Executing FSM pass (extract and optimize FSM). - -8.1. Executing FSM_DETECT pass (finding FSMs in design). Solving for needle_macc_16_16_32 in haystack_test. Found 2 matches. 14.6. Substitute SubCircuits with cells. -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). - -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y @@ -32646,124 +32079,265 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `example.ys' -- +-- Executing script file `macc_xilinx_test.ys' -- -1. Executing Verilog-2005 frontend: example.v +1. Executing Verilog-2005 frontend: macc_xilinx_test.v +Parsing Verilog input from `macc_xilinx_test.v' to AST representation. +Generating RTLIL representation for module `\test1'. +Generating RTLIL representation for module `\test2'. +Successfully finished Verilog frontend. -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). +2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v +Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. +Generating RTLIL representation for module `$__mul_wrapper'. +Generating RTLIL representation for module `$__add_wrapper'. +Successfully finished Verilog frontend. -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `example.v' to AST representation. -Generating RTLIL representation for module `\example'. +3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v +Removed 0 unused cells and 2 unused wires. + +15. Generating Graphviz representation of design. + +15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v +Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. +Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. -2. Generating Graphviz representation of design. -Finding unused cells or wires in module \counter.. +4. Executing HIERARCHY pass (managing design hierarchy). +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). +15.2. Continuing show pass. +Writing dot description to `macc_simple_test_02b.dot'. +Dumping module test to page 1. -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). +16. Executing Verilog-2005 frontend: macc_simple_xmap.v +Parsing Verilog input from `macc_simple_xmap.v' to AST representation. +Generating RTLIL representation for module `\macc_16_16_32'. +Successfully finished Verilog frontend. -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). +17. Executing HIERARCHY pass (managing design hierarchy). -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). +17.1. Analyzing design hierarchy.. +Top module: \macc_16_16_32 -9. Executing OPT pass (performing simple optimizations). +17.2. Analyzing design hierarchy.. +Top module: \macc_16_16_32 +Removed 0 unused modules. +Removed 0 unused cells and 1 unused wires. -9.1. Executing OPT_EXPR pass (perform const folding). +18. Generating Graphviz representation of design. +Writing dot description to `macc_simple_xmap.dot'. +Dumping module macc_16_16_32 to page 1. Removed 0 unused cells and 2 unused wires. -15. Generating Graphviz representation of design. +5. Generating Graphviz representation of design. -15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. +End of script. Logfile hash: a39cb7f441, CPU: user 0.02s system 0.00s, MEM: 11.68 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 28% 7x clean (0 sec), 18% 3x extract (0 sec), ... +Performed a total of 0 transformations. -15.2. Continuing show pass. -Optimizing module counter. -../../../../yosys memdemo.ys -Writing dot description to `example_first.dot'. -Dumping module example to page 1. +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -5.9. Rerunning OPT passes. (Maybe there is more to do..) +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -3. Executing PROC pass (convert processes to netlists). +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. -3.4. Executing PROC_INIT pass (extract init attributes). +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. -3.5. Executing PROC_ARST pass (detect async resets in processes). +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +7. Executing OPT pass (performing simple optimizations). -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +7.1. Executing OPT_EXPR pass (perform const folding). +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' +../../../../yosys opt_share.ys +Optimizing module counter. + +7.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Creating decoders for process `\example.$proc$example.v:3$1'. - 1/1: $0\y[1:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. -5.12. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `macc_simple_test_02b.dot'. -Dumping module test to page 1. +7.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -16. Executing Verilog-2005 frontend: macc_simple_xmap.v +7.6. Executing OPT_DFF pass (perform DFF optimizations). -3.9. Executing PROC_DFF pass (convert process syncs to FFs). +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' +../../../../yosys scrambler.ys +../../../../yosys opt_muxtree.ys +Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +11.2. Continuing TECHMAP pass. +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `opt_share.ys' -- + +1. Executing Verilog-2005 frontend: < - -12. Executing OPT pass (performing simple optimizations). - -12.1. Executing OPT_EXPR pass (perform const folding). -../../../../yosys opt_expr.ys - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_DFF pass (perform DFF optimizations). -Removed 0 unused cells and 1 unused wires. + +Removed 0 unused cells and 8 unused wires. -18. Generating Graphviz representation of design. -Optimizing module example. +8. Generating Graphviz representation of design. +Writing dot description to `macc_xilinx_test1b.dot'. +Dumping module test1 to page 1. -4. Generating Graphviz representation of design. +9. Generating Graphviz representation of design. +Writing dot description to `macc_xilinx_test2b.dot'. +Dumping module test2 to page 1. -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `macc_simple_xmap.dot'. -Dumping module macc_16_16_32 to page 1. +10. Executing TECHMAP pass (map to technology primitives). -End of script. Logfile hash: a39cb7f441, CPU: user 0.05s system 0.00s, MEM: 11.69 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 27% 7x clean (0 sec), 19% 3x extract (0 sec), ... -Finding unused cells or wires in module \counter.. -Optimizing module counter. - +10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v +Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. +Generating RTLIL representation for module `\mul_wrap'. +Generating RTLIL representation for module `\add_wrap'. +Successfully finished Verilog frontend. -5.15. Executing OPT_EXPR pass (perform const folding). +10.2. Continuing TECHMAP pass. -12.2. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `example_second.dot'. -Dumping module example to page 1. -Finding unused cells or wires in module \counter.. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -9.8. Executing OPT_EXPR pass (perform const folding). +-- Executing script file `counter.ys' -- + +1. Executing Verilog-2005 frontend: counter.v +Parsing Verilog input from `counter.v' to AST representation. +Generating RTLIL representation for module `\counter'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \counter + +2.2. Analyzing design hierarchy.. +Top module: \counter +Removed 0 unused modules. + +3. Generating Graphviz representation of design. +Writing dot description to `counter_00.dot'. +Dumping module counter to page 1. + +4. Executing PROC pass (convert processes to netlists). + +4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. +Removed a total of 0 dead cases. + +4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +4.4. Executing PROC_INIT pass (extract init attributes). + +4.5. Executing PROC_ARST pass (detect async resets in processes). + +4.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + + +4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\counter.$proc$counter.v:6$1'. + 1/1: $0\count[1:0] + +4.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +4.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. + created $dff cell `$procdff$8' with positive edge clock. + +4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. +Removing empty process `counter.$proc$counter.v:6$1'. +Cleaned up 2 empty switches. + +4.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. + -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. -12.5. Executing OPT_MERGE pass (detect identical cells). +5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. -12.6. Executing OPT_DFF pass (perform DFF optimizations). - -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module counter. -Optimizing module counter. - -9.9. Finished OPT passes. (There is nothing left to do.) - -10. Generating Graphviz representation of design. +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + -5.16. Finished OPT passes. (There is nothing left to do.) -Optimizing module example. +12. Executing OPT pass (performing simple optimizations). -6. Executing MEMORY pass. +12.1. Executing OPT_EXPR pass (perform const folding). -6.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. +9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +9.8. Executing OPT_EXPR pass (perform const folding). +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' +../../../../yosys select.ys +Optimizing module counter. -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. - +9.9. Finished OPT passes. (There is nothing left to do.) -12.8. Executing OPT_EXPR pass (perform const folding). +10. Generating Graphviz representation of design. Writing dot description to `counter_01.dot'. Dumping module counter to page 1. -11. Executing TECHMAP pass (map to technology primitives). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -Performed a total of 0 transformations. +-- Executing script file `opt_muxtree.ys' -- -6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +1. Executing Verilog-2005 frontend: < +Removed 0 unused cells and 3 unused wires. -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \example. -Performed a total of 0 changes. +3. Generating Graphviz representation of design. +Writing dot description to `opt_muxtree_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. -5.5. Executing OPT_MERGE pass (detect identical cells). +End of script. Logfile hash: b6e098eb19, CPU: user 0.00s system 0.01s, MEM: 9.71 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 49% 1x clean (0 sec), 27% 1x show (0 sec), ... +gvpack -u -o opt_share.dot opt_share_full.dot Optimizing module counter. + -12.9. Rerunning OPT passes. (Maybe there is more to do..) +12.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. -12.12. Executing OPT_MERGE pass (detect identical cells). -Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. +12.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. -12.13. Executing OPT_DFF pass (perform DFF optimizations). +12.6. Executing OPT_DFF pass (perform DFF optimizations). +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + -6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\example'. -Removed a total of 0 cells. +12. Executing OPT pass (performing simple optimizations). -5.6. Executing OPT_DFF pass (perform DFF optimizations). +12.1. Executing OPT_EXPR pass (perform const folding). + +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + + +12.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + + +12.2. Executing OPT_MERGE pass (detect identical cells). +Optimizing module counter. + +12.9. Rerunning OPT passes. (Maybe there is more to do..) + +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.12. Executing OPT_MERGE pass (detect identical cells). +../../../../yosys scrambler.ys /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -32936,17 +32605,6 @@ -- Executing script file `scrambler.ys' -- 1. Executing Verilog-2005 frontend: scrambler.v - -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -12.15. Executing OPT_EXPR pass (perform const folding). -Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Parsing Verilog input from `scrambler.v' to AST representation. Generating RTLIL representation for module `\scrambler'. Successfully finished Verilog frontend. @@ -32967,6 +32625,7 @@ Promoted 2 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). +Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. 3.5. Executing PROC_ARST pass (detect async resets in processes). @@ -32975,32 +32634,12 @@ 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Optimizing module counter. -Finding unused cells or wires in module \example.. -Removed 1 unused cells and 4 unused wires. - -12.16. Finished OPT passes. (There is nothing left to do.) Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. 1/1: $1\xs[31:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - - -5.8. Executing OPT_EXPR pass (perform const folding). - -13. Executing SPLITNETS pass (splitting up multi-bit signals). - -6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -6.9. Executing OPT_CLEAN pass (remove unused cells and wires). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Removed 0 unused cells and 2 unused wires. - -14. Generating Graphviz representation of design. -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$12' with positive edge clock. Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. @@ -33014,17 +32653,123 @@ Cleaned up 1 empty switch. 3.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module scrambler. + +Removed 0 unused cells and 5 unused wires. + +4. Executing SUBMOD pass (moving cells to submodules as requested). + +4.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \scrambler.. + +4.2. Continuing SUBMOD pass. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.13. Executing OPT_DFF pass (perform DFF optimizations). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.5. Executing OPT_MERGE pass (detect identical cells). +Creating submodule xorshift32 (\xorshift32) of module \scrambler. + signal $1\xs[31:0]: input \n1 + signal $0\xs[31:0]: output \n2 + signal $xor$scrambler.v:9$3_Y: internal + signal $shr$scrambler.v:10$4_Y: internal + signal $xor$scrambler.v:10$5_Y: internal + signal $shl$scrambler.v:11$6_Y: internal + cell $xor$scrambler.v:9$3 ($xor) + cell $xor$scrambler.v:11$7 ($xor) + cell $xor$scrambler.v:10$5 ($xor) +Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. + +5. Generating Graphviz representation of design. + +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.6. Executing OPT_DFF pass (perform DFF optimizations). +Writing dot description to `scrambler_p01.dot'. +Dumping module scrambler to page 1. + +6. Generating Graphviz representation of design. Finding unused cells or wires in module \counter.. -6.10. Executing MEMORY_COLLECT pass (generating $mem cells). +12.15. Executing OPT_EXPR pass (perform const folding). -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `scrambler_p02.dot'. +Dumping module xorshift32 to page 1. +echo on -6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +yosys> cd xorshift32 -7. Executing OPT pass (performing simple optimizations). +yosys [xorshift32]> rename n2 in +Renaming wire n2 to in in module xorshift32. -7.1. Executing OPT_EXPR pass (perform const folding). +yosys [xorshift32]> rename n1 out +Renaming wire n1 to out in module xorshift32. + +yosys [xorshift32]> eval -set in 1 -show out + +7. Executing EVAL pass (evaluate the circuit given an input). +Failed to evaluate signal \out: Missing value for \out. + +yosys [xorshift32]> eval -set in 270369 -show out + +8. Executing EVAL pass (evaluate the circuit given an input). +Optimizing module counter. + +12.16. Finished OPT passes. (There is nothing left to do.) +Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + + +12.8. Executing OPT_EXPR pass (perform const folding). + +13. Executing SPLITNETS pass (splitting up multi-bit signals). +Failed to evaluate signal \out: Missing value for \out. + +yosys [xorshift32]> sat -set out 632435482 + +9. Executing SAT pass (solving SAT problems in the circuit). +Removed 0 unused cells and 2 unused wires. + +14. Generating Graphviz representation of design. +Optimizing module counter. + +12.9. Rerunning OPT passes. (Maybe there is more to do..) + +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +12.12. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. + +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +12.13. Executing OPT_DFF pass (perform DFF optimizations). cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. final dff cell mappings: unmapped dff cell: $_DFF_N_ @@ -33051,19 +32796,44 @@ unmapped dff cell: $_DFFSR_PPP_ 15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). + +Setting up SAT problem: +Import set-constraint: \out = 632435482 +Final constraint equation: \out = 632435482 +Imported 3 cells to SAT database. + +Solving problem with 665 variables and 1735 clauses.. Mapping DFF cells in module `\counter': mapped 2 $_DFF_P_ cells to \DFF cells. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +16. Executing ABC pass (technology mapping using ABC). --- Executing script file `opt_expr.ys' -- +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -1. Executing Verilog-2005 frontend: < + +5.8. Executing OPT_EXPR pass (perform const folding). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -33072,63 +32842,30 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `memdemo.ys' -- - -1. Executing Verilog-2005 frontend: memdemo.v - -16. Executing ABC pass (technology mapping using ABC). -Optimizing module example. +-- Executing script file `select.ys' -- -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. +1. Executing Verilog-2005 frontend: select.v +Optimizing module counter. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. - -16.1.1. Executing ABC. -Running muxtree optimizer on module \example.. +Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \example. + Optimizing cells in module \counter. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `< - Optimizing cells in module \counter. -Performed a total of 0 changes. - -7.5. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. +5.13. Executing OPT_DFF pass (perform DFF optimizations). +Parsing Verilog input from `select.v' to AST representation. +Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing PREP pass. @@ -33136,14 +32873,11 @@ 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. -Top module: \memdemo +Top module: \test 2.1.2. Analyzing design hierarchy.. -Top module: \memdemo +Top module: \test Removed 0 unused modules. -Finding unused cells or wires in module \example.. - -5.15. Executing OPT_EXPR pass (perform const folding). 2.2. Executing PROC pass (convert processes to netlists). @@ -33155,7 +32889,7 @@ 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. -Promoted 14 assignments to connections. +Promoted 2 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). @@ -33165,118 +32899,198 @@ Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. +Creating decoders for process `\test.$proc$select.v:7$1'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. -7.6. Executing OPT_DFF pass (perform DFF optimizations). +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Optimizing module after. - -Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. +Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. + created $dff cell `$procdff$8' with positive edge clock. +Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. + created $dff cell `$procdff$9' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `memdemo.$proc$memdemo.v:11$7'. +Removing empty process `test.$proc$select.v:7$1'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \counter.. -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module example. +5.15. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +11.2. Continuing TECHMAP pass. +Optimizing module counter. +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. 5.16. Finished OPT passes. (There is nothing left to do.) -6. Generating Graphviz representation of design. -Optimizing module memdemo. -Finding unused cells or wires in module \counter.. -Writing dot description to `example_third.dot'. -Dumping module example to page 1. +6. Executing MEMORY pass. -7.8. Executing OPT_EXPR pass (perform const folding). +6.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Optimizing module test. + 2.3. Executing FUTURE pass. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. +Performed a total of 0 transformations. -End of script. Logfile hash: 1968fcbfd1, CPU: user 0.02s system 0.00s, MEM: 12.39 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 35% 4x opt_expr (0 sec), 16% 3x show (0 sec), ... -Removed 0 unused cells and 5 unused wires. +6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -4. Executing SUBMOD pass (moving cells to submodules as requested). -Removed 0 unused cells and 4 unused wires. +6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -3. Generating Graphviz representation of design. +6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +Finding unused cells or wires in module \counter.. -2.4. Executing OPT_EXPR pass (perform const folding). -../../../../yosys memdemo.ys -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' -../../../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format dot' +12.15. Executing OPT_EXPR pass (perform const folding). + +6.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Optimizing module counter. -Writing dot description to `opt_expr_full.dot'. -Dumping module after to page 1. -Dumping module uut to page 2. -7.9. Finished OPT passes. (There is nothing left to do.) +12.16. Finished OPT passes. (There is nothing left to do.) -8. Executing FSM pass (extract and optimize FSM). +6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. -8.1. Executing FSM_DETECT pass (finding FSMs in design). -Optimizing module memdemo. +6.9. Executing OPT_CLEAN pass (remove unused cells and wires). -End of script. Logfile hash: 1d1df32b64, CPU: user 0.01s system 0.00s, MEM: 9.54 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 45% 1x opt_expr (0 sec), 28% 1x clean (0 sec), ... +13. Executing SPLITNETS pass (splitting up multi-bit signals). +Finding unused cells or wires in module \counter.. -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +6.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + +7. Executing OPT pass (performing simple optimizations). + +7.1. Executing OPT_EXPR pass (perform const folding). +Removed 0 unused cells and 2 unused wires. + +14. Generating Graphviz representation of design. +Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. + +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). +Optimizing module counter. + cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ + +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). + +7.2. Executing OPT_MERGE pass (detect identical cells). +Mapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. + +16. Executing ABC pass (technology mapping using ABC). + +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. +Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. + +16.1.1. Executing ABC. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. + +7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. + +7.5. Executing OPT_MERGE pass (detect identical cells). Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add. No more expansions possible. +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). +7.6. Executing OPT_DFF pass (perform DFF optimizations). 11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). +7.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. + +7.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. + +7.9. Finished OPT passes. (There is nothing left to do.) + +8. Executing FSM pass (extract and optimize FSM). + +8.1. Executing FSM_DETECT pass (finding FSMs in design). + +2.4. Executing OPT_EXPR pass (perform const folding). + +8.2. Executing FSM_EXTRACT pass (extracting FSM from design). + 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \memdemo.. -Removed 12 unused cells and 26 unused wires. - +Optimizing module test. -2.6. Executing CHECK pass (checking for obvious problems). +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). @@ -33287,79 +33101,17 @@ 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). -4.1. Executing OPT_CLEAN pass (remove unused cells and wires). - 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). -gvpack -u -o opt_expr.dot opt_expr_full.dot -Checking module memdemo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -11.2. Continuing TECHMAP pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -../../../../yosys example.ys -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. -Optimizing module memdemo. -Finding unused cells or wires in module \scrambler.. - -4.2. Continuing SUBMOD pass. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). Optimizing module counter. 9.2. Executing OPT_MERGE pass (detect identical cells). -make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' -../../../../yosys red_or3x1_test.ys -Finding identical cells in module `\memdemo'. - -Removed a total of 4 cells. +Finding unused cells or wires in module \test.. +Removed 1 unused cells and 6 unused wires. + -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Creating submodule xorshift32 (\xorshift32) of module \scrambler. - signal $1\xs[31:0]: input \n1 - signal $0\xs[31:0]: output \n2 - signal $xor$scrambler.v:9$3_Y: internal - signal $shr$scrambler.v:10$4_Y: internal - signal $xor$scrambler.v:10$5_Y: internal - signal $shl$scrambler.v:11$6_Y: internal - cell $xor$scrambler.v:9$3 ($xor) - cell $xor$scrambler.v:11$7 ($xor) - cell $xor$scrambler.v:10$5 ($xor) -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. +2.6. Executing CHECK pass (checking for obvious problems). Finding identical cells in module `\counter'. Removed a total of 0 cells. @@ -33370,48 +33122,44 @@ Removed 0 multiplexer ports. 9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). +Checking module test... +Found and reported 0 problems. -5. Generating Graphviz representation of design. - Optimizing cells in module \memdemo. -Performed a total of 0 changes. +2.7. Executing OPT pass (performing simple optimizations). -2.7.5. Executing OPT_MERGE pass (detect identical cells). +2.7.1. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `scrambler_p01.dot'. -Dumping module scrambler to page 1. - -6. Generating Graphviz representation of design. 9.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. - +Optimizing module test. -2.7.7. Executing OPT_EXPR pass (perform const folding). +2.7.2. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \counter.. 9.8. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `scrambler_p02.dot'. -Dumping module xorshift32 to page 1. +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. +Optimizing module counter. +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' +../../../../yosys -p 'prep -top splice_demo; show -format dot -prefix splice' splice.v + +9.9. Finished OPT passes. (There is nothing left to do.) + +10. Generating Graphviz representation of design. +Writing dot description to `counter_01.dot'. +Dumping module counter to page 1. + +11. Executing TECHMAP pass (map to technology primitives). + +11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -33420,48 +33168,9 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Executing script file `memdemo.ys' -- - -1. Executing Verilog-2005 frontend: memdemo.v -Optimizing module memdemo. - -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) - -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -echo on - -yosys> cd xorshift32 - -yosys [xorshift32]> rename n2 in -Renaming wire n2 to in in module xorshift32. - -yosys [xorshift32]> rename n1 out -Renaming wire n1 to out in module xorshift32. - -yosys [xorshift32]> eval -set in 1 -show out - -7. Executing EVAL pass (evaluate the circuit given an input). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -2.7.11. Executing OPT_MERGE pass (detect identical cells). -Failed to evaluate signal \out: Missing value for \out. - -yosys [xorshift32]> eval -set in 270369 -show out - -8. Executing EVAL pass (evaluate the circuit given an input). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +-- Executing script file `scrambler.ys' -- -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +1. Executing Verilog-2005 frontend: scrambler.v Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } @@ -33471,171 +33180,136 @@ Removed 0 unused cells and 56 unused wires. 12. Generating Graphviz representation of design. -Warning: node n1 in graph[1] uut already defined -Some nodes will be renamed. -Optimizing module counter. -Failed to evaluate signal \out: Missing value for \out. - -yosys [xorshift32]> sat -set out 632435482 +Finding identical cells in module `\test'. + +Removed a total of 1 cells. -9. Executing SAT pass (solving SAT problems in the circuit). +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -9.9. Finished OPT passes. (There is nothing left to do.) +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. -10. Generating Graphviz representation of design. -Finding unused cells or wires in module \memdemo.. +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Warning: node n4 in graph[1] uut already defined +Some nodes will be renamed. +Finding identical cells in module `\test'. +Removed a total of 0 cells. -2.7.13. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `counter_01.dot'. -Dumping module counter to page 1. +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Writing dot description to `macc_xilinx_test1c.dot'. Dumping module test1 to page 1. 13. Generating Graphviz representation of design. +Finding unused cells or wires in module \test.. +Removed 0 unused cells and 1 unused wires. + -11. Executing TECHMAP pass (map to technology primitives). - -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' -Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. +2.7.7. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `scrambler.v' to AST representation. +Generating RTLIL representation for module `\scrambler'. Successfully finished Verilog frontend. -2. Executing PREP pass. -Optimizing module memdemo. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Top module: \memdemo - -2.1.2. Analyzing design hierarchy.. -Top module: \memdemo -Removed 0 unused modules. - -2.7.14. Finished OPT passes. (There is nothing left to do.) +2. Executing HIERARCHY pass (managing design hierarchy). -2.2. Executing PROC pass (convert processes to netlists). +3. Executing PROC pass (convert processes to netlists). -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Writing dot description to `macc_xilinx_test2c.dot'. +Dumping module test2 to page 1. Cleaned up 0 empty switches. -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. Removed a total of 0 dead cases. -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). - -2.8. Executing WREDUCE pass (reducing word size of cells). +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. -Promoted 14 assignments to connections. +Promoted 2 assignments to connections. -2.2.4. Executing PROC_INIT pass (extract init attributes). +3.4. Executing PROC_INIT pass (extract init attributes). -2.2.5. Executing PROC_ARST pass (detect async resets in processes). +3.5. Executing PROC_ARST pass (detect async resets in processes). -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. + -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. + 1/1: $1\xs[31:0] -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `macc_xilinx_test2c.dot'. -Dumping module test2 to page 1. +14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v +Optimizing module test. -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) -14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. + +2.7.11. Executing OPT_MERGE pass (detect identical cells). Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 15. Executing TECHMAP pass (map to technology primitives). +3.9. Executing PROC_DFF pass (convert process syncs to FFs). + 15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v -Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. +Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. + created $dff cell `$procdff$12' with positive edge clock. +Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. + created $dff cell `$procdff$13' with positive edge clock. -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -Finding unused cells or wires in module \memdemo.. +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `memdemo.$proc$memdemo.v:11$7'. -Cleaned up 0 empty switches. +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. +Removing empty process `scrambler.$proc$scrambler.v:6$1'. +Cleaned up 1 empty switch. -2.2.12. Executing OPT_EXPR pass (perform const folding). +3.12. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\test'. +Removed a total of 0 cells. -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 15.2. Continuing TECHMAP pass. - -2.11. Executing OPT pass (performing simple optimizations). - -2.11.1. Executing OPT_EXPR pass (perform const folding). - -Setting up SAT problem: -Import set-constraint: \out = 632435482 -Final constraint equation: \out = 632435482 -Imported 3 cells to SAT database. - -Solving problem with 665 variables and 1735 clauses.. No more expansions possible. 16. Executing TECHMAP pass (map to technology primitives). 16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v -Optimizing module memdemo. +Finding unused cells or wires in module \test.. -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Optimizing module memdemo. +2.7.13. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. -2.3. Executing FUTURE pass. -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +2.7.14. Finished OPT passes. (There is nothing left to do.) -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +2.8. Executing WREDUCE pass (reducing word size of cells). Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. @@ -33643,54 +33317,61 @@ 16.2. Continuing TECHMAP pass. -2.4. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Parsing `splice.v' using frontend ` -vlog2k' -- + +1. Executing Verilog-2005 frontend: splice.v + +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. + +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +2.11. Executing OPT pass (performing simple optimizations). + +2.11.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. + +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. -=== memdemo === +=== test === - Number of wires: 18 - Number of wire bits: 58 - Number of public wires: 5 - Number of public wire bits: 13 - Number of ports: 3 - Number of port bits: 9 + Number of wires: 9 + Number of wire bits: 114 + Number of public wires: 8 + Number of public wire bits: 98 + Number of ports: 4 + Number of port bits: 34 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 - Number of cells: 11 - $add 4 - $dff 3 - $mem_v2 1 + Number of cells: 6 + $add 1 + $dff 2 $mux 1 - $reduce_bool 1 + $sub 1 $xor 1 2.13. Executing CHECK pass (checking for obvious problems). -Checking module memdemo... +Checking module test... Found and reported 0 problems. -3. Executing MEMORY pass. - -3.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Optimizing module memdemo. -SAT solving finished - model found: - - Signal Name Dec Hex Bin - --------------- ----------- --------- ----------------------------------- - \out 632435482 25b2331a 00100101101100100011001100011010 - -End of script. Logfile hash: c8ba6caa2d, CPU: user 0.02s system 0.01s, MEM: 12.95 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 23% 1x sat (0 sec), 20% 1x submod (0 sec), ... - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +3. Generating Graphviz representation of design. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $mux. @@ -33702,55 +33383,44 @@ 12. Executing OPT pass (performing simple optimizations). 12.1. Executing OPT_EXPR pass (perform const folding). -Performed a total of 6 transformations. - -3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing memdemo.mem write port 0. - Analyzing memdemo.mem write port 1. - Analyzing memdemo.mem write port 2. - Analyzing memdemo.mem write port 3. - Analyzing memdemo.mem write port 4. - -3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Finding unused cells or wires in module \memdemo.. -Removed 12 unused cells and 26 unused wires. - - -2.6. Executing CHECK pass (checking for obvious problems). -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' -Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. - Write port 0: non-transparent. - Write port 1: non-transparent. - Write port 2: non-transparent. - Write port 3: non-transparent. - Write port 4: non-transparent. -Checking read port `\mem'[1] in module `\memdemo': no output FF found. -Checking read port `\mem'[2] in module `\memdemo': no output FF found. -Checking read port `\mem'[3] in module `\memdemo': no output FF found. -Checking read port `\mem'[4] in module `\memdemo': no output FF found. -Checking read port address `\mem'[1] in module `\memdemo': no address FF found. -Checking read port address `\mem'[2] in module `\memdemo': no address FF found. -Checking read port address `\mem'[3] in module `\memdemo': no address FF found. -Checking read port address `\mem'[4] in module `\memdemo': no address FF found. +Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. -3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +11.2. Continuing TECHMAP pass. +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using extmapper simplemap for cells of type $sdffe. +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. Optimizing module counter. 12.2. Executing OPT_MERGE pass (detect identical cells). -Checking module memdemo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. - - -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. @@ -33765,331 +33435,296 @@ Performed a total of 0 changes. 12.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +12.6. Executing OPT_DFF pass (perform DFF optimizations). +Warning: node n2 in graph[1] uut already defined +Some nodes will be renamed. --- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format dot' -- +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +../../../../yosys opt_merge.ys +Writing dot description to `select.dot'. +Dumping module test to page 1. +../../../../yosys example.ys --- Executing script file `proc_01.ys' -- +End of script. Logfile hash: f11d6793ac, CPU: user 0.02s system 0.00s, MEM: 11.99 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 28% 6x opt_expr (0 sec), 25% 5x opt_clean (0 sec), ... +Optimizing module scrambler. + +Removed 0 unused cells and 5 unused wires. -1. Executing Verilog-2005 frontend: proc_01.v +4. Executing SUBMOD pass (moving cells to submodules as requested). + +4.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + + +12.8. Executing OPT_EXPR pass (perform const folding). Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul. -Optimizing module memdemo. +Optimizing module counter. -2.7.2. Executing OPT_MERGE pass (detect identical cells). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 4 (address 2'00). - Merging ports 2, 3 (address 2'11). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 2 (address 2'00). -Consolidating read ports of memory memdemo.mem by address: -Consolidating write ports of memory memdemo.mem by address: - Merging ports 0, 1 (address 2'00). - Merging ports 0, 2 (address 2'00). -Consolidating write ports of memory memdemo.mem by address: +12.9. Rerunning OPT passes. (Maybe there is more to do..) -3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -3.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `proc_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. -2. Executing HIERARCHY pass (managing design hierarchy). +12.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -2.1. Analyzing design hierarchy.. -Top module: \test +12.13. Executing OPT_DFF pass (perform DFF optimizations). +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. +12. Executing OPT pass (performing simple optimizations). -3. Executing PROC pass (convert processes to netlists). +12.1. Executing OPT_EXPR pass (perform const folding). -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \counter.. -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. -Removed a total of 0 dead cases. +12.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 0 assignments to connections. +12.16. Finished OPT passes. (There is nothing left to do.) -3.4. Executing PROC_INIT pass (extract init attributes). +13. Executing SPLITNETS pass (splitting up multi-bit signals). +Optimizing module counter. + + +12.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. -12.6. Executing OPT_DFF pass (perform DFF optimizations). +12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Removed 0 unused cells and 2 unused wires. -3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \R in `\test.$proc$proc_01.v:2$1'. +14. Generating Graphviz representation of design. +Parsing Verilog input from `splice.v' to AST representation. +Storing AST representation for module `$abstract\splice_demo'. +Successfully finished Verilog frontend. -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +-- Running command `prep -top splice_demo; show -format dot -prefix splice' -- -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_01.v:2$1'. - 1/1: $0\Q[0:0] +2. Executing PREP pass. -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +2.1. Executing HIERARCHY pass (managing design hierarchy). -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Finding identical cells in module `\memdemo'. - -Removed a total of 4 cells. +2.2. Executing AST frontend in derive mode using pre-parsed AST for module `\splice_demo'. +Generating RTLIL representation for module `\splice_demo'. -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. - created $adff cell `$procdff$4' with positive edge clock and positive level reset. +2.2.1. Analyzing design hierarchy.. +Top module: \splice_demo -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.2.2. Analyzing design hierarchy.. +Top module: \splice_demo +Removing unused module `$abstract\splice_demo'. +Removed 1 unused modules. -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$proc_01.v:2$1'. +2.3. Executing PROC pass (convert processes to netlists). + +2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. -3.12. Executing OPT_EXPR pass (perform const folding). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 5 unused wires. - +2.3.4. Executing PROC_INIT pass (extract init attributes). -3.10. Executing MEMORY_COLLECT pass (generating $mem cells). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. +2.3.5. Executing PROC_ARST pass (detect async resets in processes). -2.7.5. Executing OPT_MERGE pass (detect identical cells). +2.3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). --- Executing script file `example.ys' -- +2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). -1. Executing Verilog-2005 frontend: example.v -Mapping memory \mem in module \memdemo: - created 4 $dff cells and 0 static cells of width 4. -Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] - read interface: 1 $dff and 3 $mux cells. - write interface: 12 write mux blocks. +2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -4. Executing OPT pass (performing simple optimizations). +2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -4.1. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +2.3.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module splice_demo. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. - +2.4. Executing FUTURE pass. -12.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. +2.5. Executing OPT_EXPR pass (perform const folding). +Optimizing module splice_demo. + +2.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \splice_demo.. +Removed 0 unused cells and 2 unused wires. -2.7.7. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +2.7. Executing CHECK pass (checking for obvious problems). +Checking module splice_demo... +Found and reported 0 problems. -12.9. Rerunning OPT passes. (Maybe there is more to do..) +2.8. Executing OPT pass (performing simple optimizations). -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +2.8.1. Executing OPT_EXPR pass (perform const folding). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module memdemo. - +12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Optimizing module splice_demo. Optimizing cells in module \counter. Performed a total of 0 changes. -12.12. Executing OPT_MERGE pass (detect identical cells). - -4.2. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `example.v' to AST representation. -Generating RTLIL representation for module `\example'. -Successfully finished Verilog frontend. +12.5. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \scrambler.. -2. Generating Graphviz representation of design. -Removed 0 unused cells and 2 unused wires. +4.2. Continuing SUBMOD pass. +Creating submodule xorshift32 (\xorshift32) of module \scrambler. + signal $1\xs[31:0]: input \n1 + signal $0\xs[31:0]: output \n2 + signal $xor$scrambler.v:9$3_Y: internal + signal $shr$scrambler.v:10$4_Y: internal + signal $xor$scrambler.v:10$5_Y: internal + signal $shl$scrambler.v:11$6_Y: internal + cell $xor$scrambler.v:9$3 ($xor) + cell $xor$scrambler.v:11$7 ($xor) + cell $xor$scrambler.v:10$5 ($xor) -4. Generating Graphviz representation of design. +5. Generating Graphviz representation of design. Finding identical cells in module `\counter'. Removed a total of 0 cells. -12.13. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module memdemo. - -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) - -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +12.6. Executing OPT_DFF pass (perform DFF optimizations). +Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. +Writing dot description to `scrambler_p01.dot'. +Dumping module scrambler to page 1. -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Writing dot description to `proc_01.dot'. -Dumping module test to page 1. +12.7. Executing OPT_CLEAN pass (remove unused cells and wires). -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. +6. Generating Graphviz representation of design. -2.7.11. Executing OPT_MERGE pass (detect identical cells). +15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). + cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. + final dff cell mappings: + unmapped dff cell: $_DFF_N_ + \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); + unmapped dff cell: $_DFF_NN0_ + unmapped dff cell: $_DFF_NN1_ + unmapped dff cell: $_DFF_NP0_ + unmapped dff cell: $_DFF_NP1_ + unmapped dff cell: $_DFF_PN0_ + unmapped dff cell: $_DFF_PN1_ + unmapped dff cell: $_DFF_PP0_ + unmapped dff cell: $_DFF_PP1_ + unmapped dff cell: $_DFFE_NN_ + unmapped dff cell: $_DFFE_NP_ + unmapped dff cell: $_DFFE_PN_ + unmapped dff cell: $_DFFE_PP_ + unmapped dff cell: $_DFFSR_NNN_ + unmapped dff cell: $_DFFSR_NNP_ + unmapped dff cell: $_DFFSR_NPN_ + unmapped dff cell: $_DFFSR_NPP_ + unmapped dff cell: $_DFFSR_PNN_ + unmapped dff cell: $_DFFSR_PNP_ + unmapped dff cell: $_DFFSR_PPN_ + unmapped dff cell: $_DFFSR_PPP_ -End of script. Logfile hash: 4fe5064e83, CPU: user 0.01s system 0.00s, MEM: 10.79 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 30% 1x opt_expr (0 sec), 29% 1x clean (0 sec), ... -Writing dot description to `example_first.dot'. -Dumping module example to page 1. -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). +Mapping DFF cells in module `\counter': + mapped 2 $_DFF_P_ cells to \DFF cells. -4.6. Executing OPT_DFF pass (perform DFF optimizations). +16. Executing ABC pass (technology mapping using ABC). -3. Executing PROC pass (convert processes to netlists). +16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. +Writing dot description to `scrambler_p02.dot'. +Dumping module xorshift32 to page 1. +Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +16.1.1. Executing ABC. +echo on -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. +yosys> cd xorshift32 -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +yosys [xorshift32]> rename n2 in +Renaming wire n2 to in in module xorshift32. -3.4. Executing PROC_INIT pass (extract init attributes). +yosys [xorshift32]> rename n1 out +Renaming wire n1 to out in module xorshift32. -3.5. Executing PROC_ARST pass (detect async resets in processes). +yosys [xorshift32]> eval -set in 1 -show out -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - +7. Executing EVAL pass (evaluate the circuit given an input). +Failed to evaluate signal \out: Missing value for \out. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +yosys [xorshift32]> eval -set in 270369 -show out -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +8. Executing EVAL pass (evaluate the circuit given an input). Finding unused cells or wires in module \counter.. +Removed 1 unused cells and 32 unused wires. + -12.15. Executing OPT_EXPR pass (perform const folding). -Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. -No more expansions possible. -Creating decoders for process `\example.$proc$example.v:3$1'. - 1/1: $0\y[1:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). +12.8. Executing OPT_EXPR pass (perform const folding). +Failed to evaluate signal \out: Missing value for \out. -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. - created $dff cell `$procdff$6' with positive edge clock. +yosys [xorshift32]> sat -set out 632435482 -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +9. Executing SAT pass (solving SAT problems in the circuit). +Optimizing module counter. -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. -Removing empty process `example.$proc$example.v:3$1'. -Cleaned up 1 empty switch. +12.9. Rerunning OPT passes. (Maybe there is more to do..) -3.12. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. +12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \counter.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -2.7.13. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \counter. +Performed a total of 0 changes. -12.16. Finished OPT passes. (There is nothing left to do.) +12.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\counter'. +Removed a total of 0 cells. -13. Executing SPLITNETS pass (splitting up multi-bit signals). -../../../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format dot' -Removed 0 unused cells and 2 unused wires. +12.13. Executing OPT_DFF pass (perform DFF optimizations). -14. Generating Graphviz representation of design. -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - +Setting up SAT problem: +Import set-constraint: \out = 632435482 +Final constraint equation: \out = 632435482 +Imported 3 cells to SAT database. -4.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +Solving problem with 665 variables and 1735 clauses.. -11.2. Continuing TECHMAP pass. +12.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. +No more expansions possible. +Finding unused cells or wires in module \counter.. -2.7.14. Finished OPT passes. (There is nothing left to do.) +12.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module counter. Removed 0 unused cells and 17 unused wires. @@ -34097,23 +33732,14 @@ 17.1. Creating graphs for SubCircuit library. -2.8. Executing WREDUCE pass (reducing word size of cells). -Optimizing module example. -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). +12.16. Finished OPT passes. (There is nothing left to do.) -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +13. Executing SPLITNETS pass (splitting up multi-bit signals). +Removed 0 unused cells and 2 unused wires. -4. Generating Graphviz representation of design. +14. Generating Graphviz representation of design. +Writing dot description to `counter_02.dot'. +Dumping module counter to page 1. 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. @@ -34148,103 +33774,165 @@ 16. Executing ABC pass (technology mapping using ABC). 16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -Optimizing module memdemo. - -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. -Running muxtree optimizer on module \memdemo.. + +2.8.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\splice_demo'. +Removed a total of 0 cells. + +2.8.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \splice_demo.. Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. + No muxes found in this module. Removed 0 multiplexer ports. - -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. +2.8.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \splice_demo. Performed a total of 0 changes. -4.12. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \memdemo.. -Writing dot description to `example_second.dot'. -Dumping module example to page 1. +2.8.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\splice_demo'. +Removed a total of 0 cells. -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +2.8.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \splice_demo.. -5. Executing OPT pass (performing simple optimizations). +2.8.7. Executing OPT_EXPR pass (perform const folding). +Optimizing module splice_demo. -5.1. Executing OPT_EXPR pass (perform const folding). +2.8.8. Finished OPT passes. (There is nothing left to do.) -2.11. Executing OPT pass (performing simple optimizations). +2.9. Executing WREDUCE pass (reducing word size of cells). -2.11.1. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +2.10. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \splice_demo.. -4.13. Executing OPT_DFF pass (perform DFF optimizations). -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. +2.11. Executing MEMORY_COLLECT pass (generating $mem cells). -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. +2.12. Executing OPT pass (performing simple optimizations). -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Optimizing module example. +2.12.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module splice_demo. -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\memdemo'. +2.12.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\splice_demo'. Removed a total of 0 cells. -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \memdemo.. - -4.15. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. +2.12.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \splice_demo.. -2.11.4. Finished fast OPT passes. +2.12.4. Finished fast OPT passes. -2.12. Printing statistics. -Optimizing module memdemo. +2.13. Printing statistics. -=== memdemo === +=== splice_demo === - Number of wires: 18 - Number of wire bits: 58 - Number of public wires: 5 - Number of public wire bits: 13 - Number of ports: 3 - Number of port bits: 9 + Number of wires: 8 + Number of wire bits: 26 + Number of public wires: 8 + Number of public wire bits: 26 + Number of ports: 8 + Number of port bits: 26 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 - Number of cells: 11 - $add 4 - $dff 3 - $mem_v2 1 - $mux 1 - $reduce_bool 1 - $xor 1 + Number of cells: 2 + $neg 1 + $not 1 -2.13. Executing CHECK pass (checking for obvious problems). +2.14. Executing CHECK pass (checking for obvious problems). +../../../../yosys memdemo.ys -4.16. Finished OPT passes. (There is nothing left to do.) -Checking module memdemo... -Found and reported 0 problems. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -3. Executing MEMORY pass. +-- Executing script file `example.ys' -- -3.1. Executing OPT_MEM pass (optimize memories). +1. Executing Verilog-2005 frontend: example.v +Parsing Verilog input from `example.v' to AST representation. +Generating RTLIL representation for module `\example'. +Successfully finished Verilog frontend. -5. Generating Graphviz representation of design. -Performed a total of 0 transformations. +2. Generating Graphviz representation of design. +SAT solving finished - model found: -3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). + Signal Name Dec Hex Bin + --------------- ----------- --------- ----------------------------------- + \out 632435482 25b2331a 00100101101100100011001100011010 + +End of script. Logfile hash: c8ba6caa2d, CPU: user 0.01s system 0.01s, MEM: 12.97 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 25% 1x sat (0 sec), 19% 1x submod (0 sec), ... +Writing dot description to `example_first.dot'. +Dumping module example to page 1. + +3. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). + +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\example.$proc$example.v:3$1'. + 1/1: $0\y[1:0] + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. + created $dff cell `$procdff$6' with positive edge clock. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. +Removing empty process `example.$proc$example.v:3$1'. +Cleaned up 1 empty switch. + +3.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module example. + +4. Generating Graphviz representation of design. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `memdemo.ys' -- + +1. Executing Verilog-2005 frontend: memdemo.v +Writing dot description to `example_second.dot'. +Dumping module example to page 1. + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module example. + +5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\example'. Removed a total of 0 cells. @@ -34262,6 +33950,18 @@ Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/scrambler' + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `memdemo.ys' -- + +1. Executing Verilog-2005 frontend: memdemo.v Creating needle graph needle_DSP48_MACC. Creating haystack graph haystack_$__add_wrapper. Creating haystack graph haystack_$__mul_wrapper. @@ -34270,14 +33970,6 @@ Creating haystack graph haystack_test2. 17.2. Running solver from SubCircuit library. -Writing dot description to `memdemo_00.dot'. -Dumping module memdemo to page 1. -Finding identical cells in module `\example'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -6. Generating Graphviz representation of design. Solving for needle_DSP48_MACC in haystack_$__add_wrapper. Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. Solving for needle_DSP48_MACC in haystack_DSP48_MACC. @@ -34286,50 +33978,44 @@ Found 3 matches. 17.3. Substitute SubCircuits with cells. +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +2. Executing PREP pass. --- Executing script file `red_or3x1_test.ys' -- +2.1. Executing HIERARCHY pass (managing design hierarchy). -1. Executing Verilog-2005 frontend: red_or3x1_test.v -Performed a total of 6 transformations. +2.1.1. Analyzing design hierarchy.. +Top module: \memdemo -3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -Parsing Verilog input from `red_or3x1_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +2.1.2. Analyzing design hierarchy.. +Top module: \memdemo +Removed 0 unused modules. -2. Executing HIERARCHY pass (managing design hierarchy). +2.2. Executing PROC pass (convert processes to netlists). -2.1. Analyzing design hierarchy.. -Top module: \test +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -3. Executing TECHMAP pass (map to technology primitives). - Analyzing memdemo.mem write port 0. - Analyzing memdemo.mem write port 1. - Analyzing memdemo.mem write port 2. - Analyzing memdemo.mem write port 3. - Analyzing memdemo.mem write port 4. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +2.2.4. Executing PROC_INIT pass (extract init attributes). -3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +2.2.5. Executing PROC_ARST pass (detect async resets in processes). -3.1. Executing Verilog-2005 frontend: red_or3x1_map.v -Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `memdemo_01.dot'. -Dumping selected parts of module memdemo to page 1. +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. + +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Match #0: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y @@ -34358,838 +34044,422 @@ $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$37 -7. Generating Graphviz representation of design. -Parsing Verilog input from `red_or3x1_map.v' to AST representation. -Generating RTLIL representation for module `\$reduce_or'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Writing dot description to `memdemo_02.dot'. -Dumping selected parts of module memdemo to page 1. - -8. Generating Graphviz representation of design. -Finding unused cells or wires in module \example.. -Removed 1 unused cells and 4 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. - Write port 0: non-transparent. - Write port 1: non-transparent. - Write port 2: non-transparent. - Write port 3: non-transparent. - Write port 4: non-transparent. -Checking read port `\mem'[1] in module `\memdemo': no output FF found. -Checking read port `\mem'[2] in module `\memdemo': no output FF found. -Checking read port `\mem'[3] in module `\memdemo': no output FF found. -Checking read port `\mem'[4] in module `\memdemo': no output FF found. -Checking read port address `\mem'[1] in module `\memdemo': no address FF found. -Checking read port address `\mem'[2] in module `\memdemo': no address FF found. -Checking read port address `\mem'[3] in module `\memdemo': no address FF found. -Checking read port address `\mem'[4] in module `\memdemo': no address FF found. - -3.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. -Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. -Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. - - -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. -No more expansions possible. - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format dot' -- - --- Executing script file `proc_02.ys' -- - -1. Executing Verilog-2005 frontend: proc_02.v -Optimizing module example. -Removed 0 unused cells and 6 unused wires. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. -18. Generating Graphviz representation of design. +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -5.9. Rerunning OPT passes. (Maybe there is more to do..) +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. +Cleaned up 0 empty switches. -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \example.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.2.12. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \example. -Performed a total of 0 changes. +2. Executing PREP pass. -5.12. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `proc_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +2.1. Executing HIERARCHY pass (managing design hierarchy). -2. Executing HIERARCHY pass (managing design hierarchy). +2.1.1. Analyzing design hierarchy.. +Checking module splice_demo... +Found and reported 0 problems. +Top module: \memdemo -2.1. Analyzing design hierarchy.. -Top module: \test +2.1.2. Analyzing design hierarchy.. -2.2. Analyzing design hierarchy.. -Top module: \test +3. Generating Graphviz representation of design. +Top module: \memdemo Removed 0 unused modules. -3. Executing PROC pass (convert processes to netlists). +2.2. Executing PROC pass (convert processes to netlists). -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 0 assignments to connections. +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Optimizing module memdemo. +Removed 0 redundant assignments. +Promoted 14 assignments to connections. -3.4. Executing PROC_INIT pass (extract init attributes). +2.2.4. Executing PROC_INIT pass (extract init attributes). -3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \R in `\test.$proc$proc_02.v:3$1'. +2.2.5. Executing PROC_ARST pass (detect async resets in processes). -3.6. Executing PROC_ROM pass (convert switches to ROMs). +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_02.v:3$1'. - 1/1: $0\Q[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 4 (address 2'00). - Merging ports 2, 3 (address 2'11). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 2 (address 2'00). -Consolidating read ports of memory memdemo.mem by address: -Consolidating write ports of memory memdemo.mem by address: - Merging ports 0, 1 (address 2'00). - Merging ports 0, 2 (address 2'00). -Consolidating write ports of memory memdemo.mem by address: - -3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -3.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `macc_xilinx_test1d.dot'. -Dumping module test1 to page 1. -Finding identical cells in module `\example'. -Removed a total of 0 cells. +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. -5.13. Executing OPT_DFF pass (perform DFF optimizations). +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -3.9. Executing PROC_DFF pass (convert process syncs to FFs). +2.3. Executing FUTURE pass. -19. Generating Graphviz representation of design. - -Removed 0 unused cells and 18 unused wires. +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Writing dot description to `splice.dot'. +Dumping module splice_demo to page 1. -4. Executing SPLITNETS pass (splitting up multi-bit signals). +End of script. Logfile hash: 2eeb6955f1, CPU: user 0.00s system 0.01s, MEM: 12.11 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 32% 5x opt_expr (0 sec), 23% 4x opt_clean (0 sec), ... +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. -5. Generating Graphviz representation of design. -Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. -Warning: Async reset value `\RV' is not constant! - created $aldff cell `$procdff$4' with positive edge clock and positive level non-const reset. +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$proc_02.v:3$1'. +2.4. Executing OPT_EXPR pass (perform const folding). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. -3.12. Executing OPT_EXPR pass (perform const folding). - -5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v -Parsing Verilog input from `red_or3x1_cells.v' to AST representation. -Generating RTLIL representation for module `\OR3X1'. -Successfully finished Verilog frontend. +2.2.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. -5.2. Continuing show pass. -Writing dot description to `memdemo_03.dot'. -Dumping selected parts of module memdemo to page 1. +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +2.3. Executing FUTURE pass. -9. Generating Graphviz representation of design. -Writing dot description to `macc_xilinx_test2d.dot'. -Dumping module test2 to page 1. +2.4. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 5 unused wires. +Removed 12 unused cells and 26 unused wires. + -20. Executing TECHMAP pass (map to technology primitives). - +2.6. Executing CHECK pass (checking for obvious problems). +Optimizing module memdemo. +../../../../yosys example.ys -3.10. Executing MEMORY_COLLECT pass (generating $mem cells). +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Checking module memdemo... +Found and reported 0 problems. -20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v +2.7. Executing OPT pass (performing simple optimizations). -3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Writing dot description to `red_or3x1.dot'. -Dumping module test to page 1. +2.7.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. -End of script. Logfile hash: d6e7304939, CPU: user 0.01s system 0.00s, MEM: 11.16 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 43% 1x techmap (0 sec), 22% 4x read_verilog (0 sec), ... -Mapping memory \mem in module \memdemo: - created 4 $dff cells and 0 static cells of width 4. -Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] - read interface: 1 $dff and 3 $mux cells. - write interface: 12 write mux blocks. +2.7.2. Executing OPT_MERGE pass (detect identical cells). -4. Executing OPT pass (performing simple optimizations). + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -4.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -Finding unused cells or wires in module \example.. +-- Executing script file `opt_merge.ys' -- -5.15. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `\$__mul_wrapper'. -Generating RTLIL representation for module `\$__add_wrapper'. +1. Executing Verilog-2005 frontend: < - -12. Executing OPT pass (performing simple optimizations). - -12.1. Executing OPT_EXPR pass (perform const folding). - -6. Generating Graphviz representation of design. - -Warnings: 1 unique messages, 1 total -End of script. Logfile hash: c4b4f83334, CPU: user 0.01s system 0.00s, MEM: 10.79 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 28% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... -Writing dot description to `memdemo_05.dot'. -Dumping selected parts of module memdemo to page 1. - -End of script. Logfile hash: ade9f67caf, CPU: user 0.04s system 0.00s, MEM: 13.36 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 23% 9x opt_expr (0 sec), 21% 9x opt_clean (0 sec), ... -Writing dot description to `example_third.dot'. -Dumping module example to page 1. - -End of script. Logfile hash: 1968fcbfd1, CPU: user 0.02s system 0.00s, MEM: 12.39 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 37% 4x opt_expr (0 sec), 17% 3x show (0 sec), ... -../../../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format dot' - -Removed 0 unused cells and 14 unused wires. - -21. Generating Graphviz representation of design. -Optimizing module memdemo. - - -4.2. Executing OPT_MERGE pass (detect identical cells). -Optimizing module counter. - - -12.2. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `macc_xilinx_test1e.dot'. -Dumping module test1 to page 1. +2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + +Removed a total of 4 cells. -22. Generating Graphviz representation of design. +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - - -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. + -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Removed 0 unused cells and 6 unused wires. -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. +18. Generating Graphviz representation of design. + Optimizing cells in module \memdemo. Performed a total of 0 changes. -12.5. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `macc_xilinx_test2e.dot'. -Dumping module test2 to page 1. +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\after'. + +Removed a total of 1 cells. +Removed 0 unused cells and 4 unused wires. -23. Generating Graphviz representation of design. +3. Generating Graphviz representation of design. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -4.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `opt_merge_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. +Writing dot description to `macc_xilinx_test1d.dot'. +Dumping module test1 to page 1. -12.6. Executing OPT_DFF pass (perform DFF optimizations). -Writing dot description to `macc_xilinx_xmap.dot'. -Dumping module DSP48_MACC to page 1. +19. Generating Graphviz representation of design. -End of script. Logfile hash: 750c951a95, CPU: user 0.09s system 0.01s, MEM: 13.27 MB peak +End of script. Logfile hash: dedbdef5c2, CPU: user 0.00s system 0.01s, MEM: 10.01 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 38% 12x clean (0 sec), 18% 5x techmap (0 sec), ... - -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -../../../../yosys submod.ys -../../../../yosys cmos.ys - -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Time spent: 37% 1x clean (0 sec), 22% 1x opt_merge (0 sec), ... Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. +Removed 0 unused cells and 4 unused wires. -4.8. Executing OPT_EXPR pass (perform const folding). -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' -Finding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. - +2.7.7. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `macc_xilinx_test2d.dot'. +Dumping module test2 to page 1. -12.8. Executing OPT_EXPR pass (perform const folding). +20. Executing TECHMAP pass (map to technology primitives). + +20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Optimizing module memdemo. -4.9. Rerunning OPT passes. (Maybe there is more to do..) +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - + -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. -4.12. Executing OPT_MERGE pass (detect identical cells). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format dot' -- - --- Executing script file `proc_03.ys' -- +2.7.11. Executing OPT_MERGE pass (detect identical cells). +Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. +Generating RTLIL representation for module `\$__mul_wrapper'. +Generating RTLIL representation for module `\$__add_wrapper'. +Successfully finished Verilog frontend. -1. Executing Verilog-2005 frontend: proc_03.v -Optimizing module counter. +20.2. Continuing TECHMAP pass. +gvpack -u -o opt_merge.dot opt_merge_full.dot Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -4.13. Executing OPT_DFF pass (perform DFF optimizations). - -12.9. Rerunning OPT passes. (Maybe there is more to do..) - -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Parsing Verilog input from `proc_03.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. - Optimizing cells in module \counter. -Performed a total of 0 changes. - -12.12. Executing OPT_MERGE pass (detect identical cells). -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\example'. +Removed a total of 0 cells. -3.4. Executing PROC_INIT pass (extract init attributes). +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper. +Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). -3.5. Executing PROC_ARST pass (detect async resets in processes). +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +2.7.13. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. +Finding unused cells or wires in module \example.. +Removed 1 unused cells and 4 unused wires. -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_03.v:3$1'. - 1/1: $0\Y[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. +5.8. Executing OPT_EXPR pass (perform const folding). -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +2.7.14. Finished OPT passes. (There is nothing left to do.) -12.13. Executing OPT_DFF pass (perform DFF optimizations). +2.8. Executing WREDUCE pass (reducing word size of cells). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module example. -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +5.9. Rerunning OPT passes. (Maybe there is more to do..) -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. -Removing empty process `test.$proc$proc_03.v:3$1'. -Cleaned up 2 empty switches. +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -3.12. Executing OPT_EXPR pass (perform const folding). +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \example. +Performed a total of 0 changes. -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. +5.12. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \memdemo.. -4.15. Executing OPT_EXPR pass (perform const folding). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). --- Executing script file `submod.ys' -- +2.11. Executing OPT pass (performing simple optimizations). -1. Executing Verilog-2005 frontend: memdemo.v -Finding unused cells or wires in module \counter.. +2.11.1. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\example'. +Removed a total of 0 cells. -12.15. Executing OPT_EXPR pass (perform const folding). -Removed 0 unused cells and 4 unused wires. +5.13. Executing OPT_DFF pass (perform DFF optimizations). -4. Generating Graphviz representation of design. +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. -4.16. Finished OPT passes. (There is nothing left to do.) -Writing dot description to `proc_03.dot'. -Dumping module test to page 1. - -5. Generating Graphviz representation of design. - -End of script. Logfile hash: 5a538b5f7f, CPU: user 0.00s system 0.01s, MEM: 10.89 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 24% 1x opt_expr (0 sec), ... -Optimizing module counter. +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \example.. -12.16. Finished OPT passes. (There is nothing left to do.) +5.15. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -13. Executing SPLITNETS pass (splitting up multi-bit signals). -Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. -Successfully finished Verilog frontend. +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. -2. Executing PREP pass. +2.11.4. Finished fast OPT passes. -2.1. Executing HIERARCHY pass (managing design hierarchy). +2.12. Printing statistics. +Optimizing module example. -2.1.1. Analyzing design hierarchy.. -Writing dot description to `memdemo_00.dot'. -Dumping module memdemo to page 1. -Top module: \memdemo +=== memdemo === -2.1.2. Analyzing design hierarchy.. -Top module: \memdemo -Removed 0 unused modules. + Number of wires: 18 + Number of wire bits: 58 + Number of public wires: 5 + Number of public wire bits: 13 + Number of ports: 3 + Number of port bits: 9 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 11 + $add 4 + $dff 3 + $mem_v2 1 + $mux 1 + $reduce_bool 1 + $xor 1 -2.2. Executing PROC pass (convert processes to netlists). +2.13. Executing CHECK pass (checking for obvious problems). -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +5.16. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 14 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `cmos.ys' -- - -1. Executing Verilog-2005 frontend: cmos.v - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Removed 0 unused cells and 2 unused wires. - -14. Generating Graphviz representation of design. - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Parsing Verilog input from `cmos.v' to AST representation. -Generating RTLIL representation for module `\cmos_demo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Top module: \cmos_demo - -2.1.2. Analyzing design hierarchy.. -Top module: \cmos_demo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). -Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Writing dot description to `memdemo_01.dot'. -Dumping selected parts of module memdemo to page 1. -Removing empty process `memdemo.$proc$memdemo.v:11$7'. -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFE_NN_ - unmapped dff cell: $_DFFE_NP_ - unmapped dff cell: $_DFFE_PN_ - unmapped dff cell: $_DFFE_PP_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ - -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). - -7. Generating Graphviz representation of design. -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. - -16. Executing ABC pass (technology mapping using ABC). - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). - -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. - -16.1.1. Executing ABC. -../../../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format dot' -Writing dot description to `memdemo_02.dot'. -Dumping selected parts of module memdemo to page 1. - -8. Generating Graphviz representation of design. -Optimizing module cmos_demo. - -2.3. Executing FUTURE pass. -Optimizing module memdemo. -Writing dot description to `memdemo_03.dot'. -Dumping selected parts of module memdemo to page 1. - -2.3. Executing FUTURE pass. - -9. Generating Graphviz representation of design. - -2.4. Executing OPT_EXPR pass (perform const folding). - -2.4. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `memdemo_04.dot'. -Dumping selected parts of module memdemo to page 1. - -10. Generating Graphviz representation of design. -Optimizing module memdemo. - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \cmos_demo.. -Removed 0 unused cells and 1 unused wires. - - -2.6. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... +Checking module memdemo... Found and reported 0 problems. -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `memdemo_05.dot'. -Dumping selected parts of module memdemo to page 1. +3. Executing MEMORY pass. -End of script. Logfile hash: ade9f67caf, CPU: user 0.04s system 0.01s, MEM: 13.37 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 23% 9x opt_expr (0 sec), 22% 9x opt_clean (0 sec), ... -Optimizing module cmos_demo. +3.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. -2.7.2. Executing OPT_MERGE pass (detect identical cells). +3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \cmos_demo.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \cmos_demo. -Performed a total of 0 changes. - -2.7.5. Executing OPT_MERGE pass (detect identical cells). +Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper. +No more expansions possible. Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). +Performed a total of 6 transformations. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `sym_mul_test.ys' -- - -1. Executing Verilog-2005 frontend: sym_mul_test.v -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format dot' -- - --- Executing script file `memory_01.ys' -- - -1. Executing Verilog-2005 frontend: memory_01.v -Parsing Verilog input from `sym_mul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + Analyzing memdemo.mem write port 0. + Analyzing memdemo.mem write port 1. + Analyzing memdemo.mem write port 2. + Analyzing memdemo.mem write port 3. + Analyzing memdemo.mem write port 4. -2.1. Analyzing design hierarchy.. -Top module: \test +3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -2.2. Analyzing design hierarchy.. +3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Optimizing module memdemo. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). 2.7.2. Executing OPT_MERGE pass (detect identical cells). -../../../../yosys submod.ys - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Finding unused cells or wires in module \cmos_demo.. - -2.7.7. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Parsing Verilog input from `memory_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 4 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$memory_01.v:5$2'. + +Removed 0 unused cells and 14 unused wires. -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +21. Generating Graphviz representation of design. Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. + +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -35198,82 +34468,83 @@ 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). -Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. -Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$7' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$8' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$9' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$10' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_01.v:5$2'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -No more expansions possible. -Optimizing module cmos_demo. - -2.7.8. Finished OPT passes. (There is nothing left to do.) Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `macc_xilinx_test1e.dot'. +Dumping module test1 to page 1. -2.8. Executing WREDUCE pass (reducing word size of cells). - -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex levels_of_abstraction.tex --interaction=nonstopmode -Finding unused cells or wires in module \cmos_demo.. - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +22. Generating Graphviz representation of design. +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. + -2.11. Executing OPT pass (performing simple optimizations). +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +Writing dot description to `macc_xilinx_test2e.dot'. +Dumping module test2 to page 1. -2.11.1. Executing OPT_EXPR pass (perform const folding). +23. Generating Graphviz representation of design. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). - -Removed 0 unused cells and 6 unused wires. +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 4 (address 2'00). + Merging ports 2, 3 (address 2'11). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 2 (address 2'00). +Consolidating read ports of memory memdemo.mem by address: +Consolidating write ports of memory memdemo.mem by address: + Merging ports 0, 1 (address 2'00). + Merging ports 0, 2 (address 2'00). +Consolidating write ports of memory memdemo.mem by address: -4. Generating Graphviz representation of design. +3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v -Parsing Verilog input from `sym_mul_cells.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. +3.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `macc_xilinx_xmap.dot'. +Dumping module DSP48_MACC to page 1. -4.2. Continuing show pass. -Optimizing module cmos_demo. -Optimizing module memdemo. +End of script. Logfile hash: 750c951a95, CPU: user 0.06s system 0.00s, MEM: 13.27 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 37% 12x clean (0 sec), 18% 5x techmap (0 sec), ... +Writing dot description to `example_third.dot'. +Dumping module example to page 1. -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Removed 3 unused cells and 7 unused wires. +End of script. Logfile hash: 1968fcbfd1, CPU: user 0.01s system 0.00s, MEM: 12.39 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 34% 4x opt_expr (0 sec), 16% 3x show (0 sec), ... +../../../../yosys cmos.ys +Warning: node n1 in graph[1] uut already defined +Some nodes will be renamed. +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 5 unused wires. + -4. Executing MEMORY pass. +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). -4.1. Executing OPT_MEM pass (optimize memories). +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. + +4. Executing OPT pass (performing simple optimizations). + +4.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Performed a total of 0 transformations. - -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -35286,105 +34557,43 @@ Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `sym_mul.dot'. -Dumping module test to page 1. -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. - -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Performed a total of 0 transformations. - -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing test.mem write port 0. - -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. + -End of script. Logfile hash: 28982f840f, CPU: user 0.01s system 0.00s, MEM: 10.90 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 29% 4x read_verilog (0 sec), 28% 1x clean (0 sec), ... -Finding unused cells or wires in module \cmos_demo.. - -2.11.4. Finished fast OPT passes. - -2.12. Printing statistics. - -=== cmos_demo === - - Number of wires: 3 - Number of wire bits: 4 - Number of public wires: 3 - Number of public wire bits: 4 - Number of ports: 3 - Number of port bits: 4 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 1 - $add 1 - -2.13. Executing CHECK pass (checking for obvious problems). -Checking read port `\mem'[0] in module `\test': merging output FF to cell. - Write port 0: non-transparent. - -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Checking module cmos_demo... -Found and reported 0 problems. - -3. Executing TECHMAP pass (map to technology primitives). +4.2. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \memdemo.. -3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v - 2.7.13. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 9 unused wires. - +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/macc' +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. + +4.5. Executing OPT_MERGE pass (detect identical cells). Optimizing module memdemo. 2.7.14. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -16.1.2. Re-integrating ABC results. - -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). +4.6. Executing OPT_DFF pass (perform DFF optimizations). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). @@ -35395,93 +34604,66 @@ Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -ABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. -Finding unused cells or wires in module \test.. - -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). -4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Mapping memory \mem in module \test: - created 2 $dff cells and 0 static cells of width 8. -Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] - read interface: 1 $dff and 1 $mux cells. - write interface: 2 write mux blocks. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -Removed 0 unused cells and 10 unused wires. - -17. Generating Graphviz representation of design. - -17.1. Executing Verilog-2005 frontend: mycells.v 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). -../../../../yosys mymul_test.ys -Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. + -17.2. Continuing show pass. +4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 2.11.2. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. +../../../../yosys opt_expr.ys -18. Executing Verilog backend. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -18.1. Executing BMUXMAP pass. +-- Executing script file `example.ys' -- -18.2. Executing DEMUXMAP pass. +1. Executing Verilog-2005 frontend: example.v Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. - +Parsing Verilog input from `example.v' to AST representation. +Generating RTLIL representation for module `\example'. +Successfully finished Verilog frontend. -5.2. Executing OPT_MERGE pass (detect identical cells). -Dumping module `\counter'. +2. Generating Graphviz representation of design. +Optimizing module memdemo. -End of script. Logfile hash: 1e4afcb258, CPU: user 0.05s system 0.01s, MEM: 16.07 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 57% 1x abc (0 sec), 10% 13x opt_expr (0 sec), ... -Finding identical cells in module `\test'. -Removed a total of 0 cells. +4.9. Rerunning OPT passes. (Maybe there is more to do..) -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Finding unused cells or wires in module \memdemo.. - -2.11.4. Finished fast OPT passes. -Running muxtree optimizer on module \test.. +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - + -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +2.11.4. Finished fast OPT passes. 2.12. Printing statistics. - Optimizing cells in module \test. + Optimizing cells in module \memdemo. Performed a total of 0 changes. -5.5. Executing OPT_MERGE pass (detect identical cells). +4.12. Executing OPT_MERGE pass (detect identical cells). === memdemo === @@ -35503,40 +34685,68 @@ $xor 1 2.13. Executing CHECK pass (checking for obvious problems). +Writing dot description to `example_first.dot'. +Dumping module example to page 1. + +3. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). + +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Checking module memdemo... Found and reported 0 problems. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). +Creating decoders for process `\example.$proc$example.v:3$1'. + 1/1: $0\y[1:0] + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Finding identical cells in module `\test'. +Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -5.6. Executing OPT_DFF pass (perform DFF optimizations). +4.13. Executing OPT_DFF pass (perform DFF optimizations). -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. + created $dff cell `$procdff$6' with positive edge clock. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). --- Executing script file `submod.ys' -- +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. +Removing empty process `example.$proc$example.v:3$1'. +Cleaned up 1 empty switch. -1. Executing Verilog-2005 frontend: memdemo.v +3.12. Executing OPT_EXPR pass (perform const folding). + +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module example. + +4. Generating Graphviz representation of design. Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 6 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. @@ -35546,36 +34756,76 @@ 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Optimizing module test. +Finding unused cells or wires in module \memdemo.. -5.9. Rerunning OPT passes. (Maybe there is more to do..) +4.15. Executing OPT_EXPR pass (perform const folding). +Writing dot description to `example_second.dot'. +Dumping module example to page 1. -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Parsing Verilog input from `memdemo.v' to AST representation. -Generating RTLIL representation for module `\memdemo'. +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. + +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. + +4.16. Finished OPT passes. (There is nothing left to do.) + +5. Generating Graphviz representation of design. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `opt_expr.ys' -- + +1. Executing Verilog-2005 frontend: < | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `cmos.ys' -- + +1. Executing Verilog-2005 frontend: cmos.v +Parsing Verilog input from `< +2. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `cmos.v' to AST representation. +Generating RTLIL representation for module `\cmos_demo'. +Successfully finished Verilog frontend. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Top module: \memdemo +Top module: \cmos_demo 2.1.2. Analyzing design hierarchy.. -Top module: \memdemo +Top module: \cmos_demo Removed 0 unused modules. 2.2. Executing PROC pass (convert processes to netlists). @@ -35588,11 +34838,9 @@ 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. -Promoted 14 assignments to connections. +Promoted 0 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). -../../../../yosys counter.ys -../../../../yosys submod.ys 2.2.5. Executing PROC_ARST pass (detect async resets in processes). @@ -35600,113 +34848,141 @@ Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding identical cells in module `\test'. -Removed a total of 0 cells. +Writing dot description to `memdemo_00.dot'. +Dumping module memdemo to page 1. -5.13. Executing OPT_DFF pass (perform DFF optimizations). +6. Generating Graphviz representation of design. +Writing dot description to `memdemo_01.dot'. +Dumping selected parts of module memdemo to page 1. -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. - Write port 0: non-transparent. - Write port 1: non-transparent. - Write port 2: non-transparent. - Write port 3: non-transparent. - Write port 4: non-transparent. -Checking read port `\mem'[1] in module `\memdemo': no output FF found. -Checking read port `\mem'[2] in module `\memdemo': no output FF found. -Checking read port `\mem'[3] in module `\memdemo': no output FF found. -Checking read port `\mem'[4] in module `\memdemo': no output FF found. -Checking read port address `\mem'[1] in module `\memdemo': no address FF found. -Checking read port address `\mem'[2] in module `\memdemo': no address FF found. -Checking read port address `\mem'[3] in module `\memdemo': no address FF found. -Checking read port address `\mem'[4] in module `\memdemo': no address FF found. +7. Generating Graphviz representation of design. +Writing dot description to `memdemo_02.dot'. +Dumping selected parts of module memdemo to page 1. -3.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$35' with positive edge clock. -Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$36' with positive edge clock. -Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$37' with positive edge clock. -Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$38' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$46' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$47' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$48' with positive edge clock. -Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. - created $dff cell `$procdff$49' with positive edge clock. +8. Generating Graphviz representation of design. +Writing dot description to `memdemo_03.dot'. +Dumping selected parts of module memdemo to page 1. -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). -Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). +9. Generating Graphviz representation of design. +Writing dot description to `memdemo_04.dot'. +Dumping selected parts of module memdemo to page 1. -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +10. Generating Graphviz representation of design. + +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). + +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. +Optimizing module example. +Optimizing module cmos_demo. + +5.2. Executing OPT_MERGE pass (detect identical cells). + +2.3. Executing FUTURE pass. +Writing dot description to `memdemo_05.dot'. +Dumping selected parts of module memdemo to page 1. + +End of script. Logfile hash: ade9f67caf, CPU: user 0.03s system 0.00s, MEM: 13.36 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 23% 9x opt_expr (0 sec), 21% 9x opt_clean (0 sec), ... + +2.4. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. + dead port 2/2 on $mux $ternary$example.v:5$3. +Removed 1 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \example. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). +Optimizing module cmos_demo. + +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \cmos_demo.. +Removed 0 unused cells and 1 unused wires. + + +2.6. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. + +2.7. Executing OPT pass (performing simple optimizations). + +2.7.1. Executing OPT_EXPR pass (perform const folding). +../../../../yosys submod.ys +Finding unused cells or wires in module \example.. +Removed 1 unused cells and 4 unused wires. -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 2 unused wires. - +5.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \cmos_demo.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. --- Executing script file `mymul_test.ys' -- +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \cmos_demo. +Performed a total of 0 changes. -1. Executing Verilog-2005 frontend: mymul_test.v +2.7.5. Executing OPT_MERGE pass (detect identical cells). +Optimizing module example. -2.3. Executing FUTURE pass. -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +5.9. Rerunning OPT passes. (Maybe there is more to do..) -2. Executing HIERARCHY pass (managing design hierarchy). +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \example.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. -2.1. Analyzing design hierarchy.. -Top module: \test +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \example. +Performed a total of 0 changes. -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. +5.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. -3. Executing TECHMAP pass (map to technology primitives). +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module after. + +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. + -3.1. Executing Verilog-2005 frontend: sym_mul_map.v +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +Removed 0 unused cells and 4 unused wires. + +3. Generating Graphviz representation of design. Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). @@ -35722,52 +34998,20 @@ Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. - -2.4. Executing OPT_EXPR pass (perform const folding). - -5.16. Rerunning OPT passes. (Maybe there is more to do..) -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Executing Verilog-2005 frontend: mymul_map.v - -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.19. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `mymul_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -3.3. Continuing TECHMAP pass. -Finding identical cells in module `\test'. -Removed a total of 0 cells. +Writing dot description to `opt_expr_full.dot'. +Dumping module after to page 1. +Dumping module uut to page 2. -5.20. Executing OPT_DFF pass (perform DFF optimizations). -Optimizing module memdemo. +End of script. Logfile hash: 1d1df32b64, CPU: user 0.01s system 0.00s, MEM: 9.52 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 43% 1x opt_expr (0 sec), 29% 1x clean (0 sec), ... Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). - 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. - -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] @@ -35777,14 +35021,7 @@ 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \test.. - -5.22. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. -Removed 12 unused cells and 26 unused wires. - - -2.6. Executing CHECK pass (checking for obvious problems). +gvpack -u -o opt_expr.dot opt_expr_full.dot /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -35796,62 +35033,14 @@ -- Executing script file `submod.ys' -- 1. Executing Verilog-2005 frontend: memdemo.v -Optimizing module test. -Checking module memdemo... -Found and reported 0 problems. - -2.7. Executing OPT pass (performing simple optimizations). - -2.7.1. Executing OPT_EXPR pass (perform const folding). - -5.23. Finished OPT passes. (There is nothing left to do.) - -6. Generating Graphviz representation of design. Optimizing module memdemo. 4.2. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `memory_01.dot'. -Dumping module test to page 1. -Optimizing module memdemo. - -2.7.2. Executing OPT_MERGE pass (detect identical cells). - -End of script. Logfile hash: 3f34ade637, CPU: user 0.02s system 0.00s, MEM: 12.07 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 30% 5x opt_expr (0 sec), 17% 5x opt_clean (0 sec), ... Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -35865,23 +35054,39 @@ 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. - -Removed a total of 4 cells. +Removed a total of 0 cells. -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. +4.6. Executing OPT_DFF pass (perform DFF optimizations). + +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. - Optimizing cells in module \memdemo. -Performed a total of 0 changes. +4.8. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \cmos_demo.. -2.7.5. Executing OPT_MERGE pass (detect identical cells). +2.7.7. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. + +2.7.8. Finished OPT passes. (There is nothing left to do.) + +2.8. Executing WREDUCE pass (reducing word size of cells). +Finding identical cells in module `\example'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). + +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). + +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \cmos_demo.. + +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +2.11. Executing OPT pass (performing simple optimizations). + +2.11.1. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. @@ -35894,7 +35099,6 @@ Top module: \memdemo 2.1.2. Analyzing design hierarchy.. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Top module: \memdemo Removed 0 unused modules. @@ -35904,10 +35108,6 @@ Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). @@ -35925,15 +35125,14 @@ Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +Finding unused cells or wires in module \example.. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +5.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -../../../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format dot' -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +2.11.2. Executing OPT_MERGE pass (detect identical cells). Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. @@ -35966,85 +35165,65 @@ created $dff cell `$procdff$49' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. + +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. - - -2.7.7. Executing OPT_EXPR pass (perform const folding). -Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. -No more expansions possible. -Optimizing module memdemo. +Optimizing module example. -2.7.8. Rerunning OPT passes. (Maybe there is more to do..) +5.16. Finished OPT passes. (There is nothing left to do.) -2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +6. Generating Graphviz representation of design. +Finding unused cells or wires in module \cmos_demo.. -2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module memdemo. - Optimizing cells in module \memdemo. -Performed a total of 0 changes. +2.11.4. Finished fast OPT passes. -2.7.11. Executing OPT_MERGE pass (detect identical cells). +2.12. Printing statistics. -2.3. Executing FUTURE pass. -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - +=== cmos_demo === -4.8. Executing OPT_EXPR pass (perform const folding). - -Removed 0 unused cells and 10 unused wires. -Renaming module \test to \test_mapped. + Number of wires: 3 + Number of wire bits: 4 + Number of public wires: 3 + Number of public wire bits: 4 + Number of ports: 3 + Number of port bits: 4 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1 + $add 1 -4. Executing Verilog-2005 frontend: mymul_test.v -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +2.13. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. -5. Executing MITER pass (creating miter circuit). -Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". +3. Executing TECHMAP pass (map to technology primitives). -6. Executing FLATTEN pass (flatten design). - +3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +Optimizing module memdemo. +Writing dot description to `example_third.dot'. +Dumping module example to page 1. -7. Executing SAT pass (solving SAT problems in the circuit). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +2.3. Executing FUTURE pass. -2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). +End of script. Logfile hash: 1968fcbfd1, CPU: user 0.01s system 0.00s, MEM: 12.39 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 36% 4x opt_expr (0 sec), 15% 3x show (0 sec), ... 2.4. Executing OPT_EXPR pass (perform const folding). +../../../../yosys cmos.ys Optimizing module memdemo. -Setting up SAT problem: -Final constraint equation: { } = { } -Imported 9 cells to SAT database. -Import proof-constraint: \trigger = 1'0 -Final proof equation: \trigger = 1'0 - -Solving problem with 107 variables and 283 clauses.. - 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Finding unused cells or wires in module \memdemo.. - -2.7.13. Executing OPT_EXPR pass (perform const folding). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -36053,83 +35232,34 @@ 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Optimizing module memdemo. Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). - -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -SAT proof finished - no model found: SUCCESS! - - /$$$$$$ /$$$$$$$$ /$$$$$$$ - /$$__ $$ | $$_____/ | $$__ $$ - | $$ \ $$ | $$ | $$ \ $$ - | $$ | $$ | $$$$$ | $$ | $$ - | $$ | $$ | $$__/ | $$ | $$ - | $$/$$ $$ | $$ | $$ | $$ - | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ - \____ $$$|__/|________/|__/|_______/|__/ - \__/ - -8. Executing SPLITNETS pass (splitting up multi-bit signals). - -9. Generating Graphviz representation of design. -Optimizing module memdemo. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). -2.7.14. Finished OPT passes. (There is nothing left to do.) +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. -2.8. Executing WREDUCE pass (reducing word size of cells). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). -Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). -Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). +4.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `mymul.dot'. -Dumping module test_mapped to page 1. +4.16. Finished OPT passes. (There is nothing left to do.) -End of script. Logfile hash: 449524bce2, CPU: user 0.01s system 0.00s, MEM: 13.47 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 24% 2x clean (0 sec), 15% 1x techmap (0 sec), ... +5. Generating Graphviz representation of design. +Writing dot description to `memdemo_00.dot'. +Dumping module memdemo to page 1. +Optimizing module memdemo. -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \memdemo.. +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. - -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). 2.6. Executing CHECK pass (checking for obvious problems). - -2.11. Executing OPT pass (performing simple optimizations). - -2.11.1. Executing OPT_EXPR pass (perform const folding). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format dot' -- - --- Executing script file `memory_02.ys' -- - -1. Executing Verilog-2005 frontend: memory_02.v -Finding unused cells or wires in module \memdemo.. - -4.15. Executing OPT_EXPR pass (perform const folding). Checking module memdemo... Found and reported 0 problems. @@ -36138,73 +35268,12 @@ 2.7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. -2.11.2. Executing OPT_MERGE pass (detect identical cells). -../../../../yosys mulshift_test.ys -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. - 2.7.2. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `memory_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Optimizing module memdemo. -Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. -Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). - -4.16. Finished OPT passes. (There is nothing left to do.) -Finding unused cells or wires in module \memdemo.. - -2.11.4. Finished fast OPT passes. - -2.12. Printing statistics. Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -=== memdemo === - - Number of wires: 18 - Number of wire bits: 58 - Number of public wires: 5 - Number of public wire bits: 13 - Number of ports: 3 - Number of port bits: 9 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 11 - $add 4 - $dff 3 - $mem_v2 1 - $mux 1 - $reduce_bool 1 - $xor 1 - -2.13. Executing CHECK pass (checking for obvious problems). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -36217,158 +35286,28 @@ Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). -Checking module memdemo... -Found and reported 0 problems. - -3. Executing MEMORY pass. - -3.1. Executing OPT_MEM pass (optimize memories). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `counter.ys' -- - -1. Executing Verilog-2005 frontend: counter.v -Performed a total of 0 transformations. - -3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \counter - -2.2. Analyzing design hierarchy.. -Top module: \counter -Removed 0 unused modules. - -3. Generating Graphviz representation of design. -Removed 0 redundant assignments. -Promoted 8 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. -Performed a total of 6 transformations. - -3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - -4. Executing PROC pass (convert processes to netlists). +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' +make[6]: Nothing to be done for 'dots'. +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/stubnets' -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. +6. Generating Graphviz representation of design. +Writing dot description to `memdemo_01.dot'. +Dumping selected parts of module memdemo to page 1. -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +7. Generating Graphviz representation of design. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). - -4.5. Executing PROC_ARST pass (detect async resets in processes). - Analyzing memdemo.mem write port 0. - Analyzing memdemo.mem write port 1. - Analyzing memdemo.mem write port 2. - Analyzing memdemo.mem write port 3. - Analyzing memdemo.mem write port 4. - -3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). - -3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Converted 0 switches. - - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$memory_02.v:24$19'. -Creating decoders for process `\test.$proc$memory_02.v:21$17'. -Creating decoders for process `\test.$proc$memory_02.v:17$10'. - 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 - 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 - 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 -Creating decoders for process `\test.$proc$memory_02.v:13$3'. - 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 - 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 - 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] - -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. - -4.12. Executing OPT_EXPR pass (perform const folding). -Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$46' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_02.v:24$19'. -Removing empty process `test.$proc$memory_02.v:21$17'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. -Removing empty process `test.$proc$memory_02.v:17$10'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. -Removing empty process `test.$proc$memory_02.v:13$3'. -Cleaned up 2 empty switches. +Writing dot description to `memdemo_02.dot'. +Dumping selected parts of module memdemo to page 1. -3.12. Executing OPT_EXPR pass (perform const folding). +8. Generating Graphviz representation of design. Optimizing module memdemo. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) @@ -36386,135 +35325,24 @@ Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). -Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. - Write port 0: non-transparent. - Write port 1: non-transparent. - Write port 2: non-transparent. - Write port 3: non-transparent. - Write port 4: non-transparent. -Checking read port `\mem'[1] in module `\memdemo': no output FF found. -Checking read port `\mem'[2] in module `\memdemo': no output FF found. -Checking read port `\mem'[3] in module `\memdemo': no output FF found. -Checking read port `\mem'[4] in module `\memdemo': no output FF found. -Checking read port address `\mem'[1] in module `\memdemo': no address FF found. -Checking read port address `\mem'[2] in module `\memdemo': no address FF found. -Checking read port address `\mem'[3] in module `\memdemo': no address FF found. -Checking read port address `\mem'[4] in module `\memdemo': no address FF found. - -3.6. Executing OPT_CLEAN pass (remove unused cells and wires). - -5. Executing SUBMOD pass (moving cells to submodules as requested). - -5.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module counter. +Writing dot description to `memdemo_03.dot'. +Dumping selected parts of module memdemo to page 1. -5. Executing OPT pass (performing simple optimizations). +9. Generating Graphviz representation of design. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_parsetree.tex --interaction=nonstopmode -Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. - - -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. -Finding unused cells or wires in module \memdemo.. - -5.2. Continuing SUBMOD pass. - - -4. Executing ABC pass (technology mapping using ABC). -Optimizing module counter. - -5.2. Executing OPT_MERGE pass (detect identical cells). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 4 (address 2'00). - Merging ports 2, 3 (address 2'11). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 2 (address 2'00). -Consolidating read ports of memory memdemo.mem by address: -Consolidating write ports of memory memdemo.mem by address: - Merging ports 0, 1 (address 2'00). - Merging ports 0, 2 (address 2'00). -Consolidating write ports of memory memdemo.mem by address: - -3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -3.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Writing dot description to `memdemo_04.dot'. +Dumping selected parts of module memdemo to page 1. -4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. +10. Generating Graphviz representation of design. Optimizing module memdemo. -Removed 6 unused cells and 26 unused wires. - -4. Executing MEMORY pass. - -4.1. Executing OPT_MEM pass (optimize memories). -Creating submodule scramble (\scramble) of module \memdemo. - signal \d: input \d - signal $auto$rtlil.cc:2826:And$141: internal - signal \clk: input \clk - signal $auto$rtlil.cc:2826:And$117: internal - signal \mem[2]: output \mem[2] - signal \mem[1]: output \mem[1] - signal $auto$rtlil.cc:2833:Eq$99: internal - signal $auto$rtlil.cc:2826:And$103: internal - signal \mem[3]: output \mem[3] - signal $memory\mem$wrmux[1][2][0]$y$119: internal - signal $memory\mem$wrmux[0][2][0]$y$105: internal - signal $memory\mem$wrmux[3][2][0]$y$143: internal - signal $auto$rtlil.cc:2826:And$129: internal - signal $0$memwr$\mem$memdemo.v:13$2_DATA[3:0]$8: internal - signal $0$memwr$\mem$memdemo.v:13$3_DATA[3:0]$10: internal - signal $0$memwr$\mem$memdemo.v:13$4_DATA[3:0]$12: internal - signal $0$memwr$\mem$memdemo.v:13$5_DATA[3:0]$14: internal - signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: input \n1 - signal $auto$rtlil.cc:2833:Eq$101: internal - signal $memory\mem$wrmux[2][2][0]$y$131: internal - signal \mem[0]: output \mem[0] - cell $memory\mem[3]$77 ($dff) - cell $memory\mem[2]$75 ($dff) - cell $memory\mem[1]$73 ($dff) - cell $memory\mem[0]$71 ($dff) - cell $memory\mem$wrmux[3][2][0]$142 ($mux) - cell $memory\mem$wrmux[2][2][0]$130 ($mux) - cell $memory\mem$wrmux[1][2][0]$118 ($mux) - cell $memory\mem$wrmux[0][2][0]$104 ($mux) - cell $auto$memory_map.cc:97:addr_decode$140 ($and) - cell $auto$memory_map.cc:97:addr_decode$128 ($and) - cell $auto$memory_map.cc:97:addr_decode$116 ($and) - cell $auto$memory_map.cc:97:addr_decode$102 ($and) - cell $auto$memory_map.cc:92:addr_decode$98 ($not) - cell $auto$memory_map.cc:92:addr_decode$100 ($not) - cell $add$memdemo.v:13$30 ($add) - cell $add$memdemo.v:13$27 ($add) - cell $add$memdemo.v:13$24 ($add) - cell $add$memdemo.v:13$21 ($add) 2.7.14. Finished OPT passes. (There is nothing left to do.) -Performed a total of 0 transformations. - -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). - -6. Executing SUBMOD pass (moving cells to submodules as requested). -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. - -4.1.1. Executing ABC. - -6.1. Executing OPT_CLEAN pass (remove unused cells and wires). 2.8. Executing WREDUCE pass (reducing word size of cells). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). @@ -36528,126 +35356,87 @@ 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 5 unused wires. - -3.10. Executing MEMORY_COLLECT pass (generating $mem cells). -Performed a total of 0 transformations. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +2.11. Executing OPT pass (performing simple optimizations). -3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - Analyzing test.memory write port 0. - Analyzing test.memory write port 1. +2.11.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). +2.11.2. Executing OPT_MERGE pass (detect identical cells). -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Mapping memory \mem in module \memdemo: - created 4 $dff cells and 0 static cells of width 4. -Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] - read interface: 1 $dff and 3 $mux cells. - write interface: 12 write mux blocks. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -4. Executing OPT pass (performing simple optimizations). +-- Executing script file `cmos.ys' -- -4.1. Executing OPT_EXPR pass (perform const folding). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 14 unused wires. - +1. Executing Verilog-2005 frontend: cmos.v +Parsing Verilog input from `cmos.v' to AST representation. +Generating RTLIL representation for module `\cmos_demo'. +Successfully finished Verilog frontend. -6.2. Continuing SUBMOD pass. -Finding unused cells or wires in module \memdemo.. +2. Executing PREP pass. -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +2.1. Executing HIERARCHY pass (managing design hierarchy). -2.11. Executing OPT pass (performing simple optimizations). +2.1.1. Analyzing design hierarchy.. +Top module: \cmos_demo -2.11.1. Executing OPT_EXPR pass (perform const folding). -Checking read port `\memory'[0] in module `\test': merging output FF to cell. -Checking read port `\memory'[1] in module `\test': merging output FF to cell. +2.1.2. Analyzing design hierarchy.. +Top module: \cmos_demo +Removed 0 unused modules. -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Creating submodule outstage (\outstage) of module \memdemo. - signal $memory\mem$rdmux[0][0][0]$a$80: internal - signal $\mem$rdreg[0]$d: internal - signal \clk: input \clk - signal \mem[2]: input \mem[2] - signal \mem[1]: input \mem[1] - signal \mem[3]: input \mem[3] - signal \y: output \y - signal $0\s2[1:0]: input \n1 - signal $memory\mem$rdmux[0][0][0]$b$81: internal - signal \mem[0]: input \mem[0] - cell $memory\mem$rdmux[0][1][1]$85 ($mux) - cell $memory\mem$rdmux[0][1][0]$82 ($mux) - cell $memory\mem$rdmux[0][0][0]$79 ($mux) - cell $\mem$rdreg[0] ($dff) +2.2. Executing PROC pass (convert processes to netlists). -7. Executing SUBMOD pass (moving cells to submodules as requested). +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -7.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 18 unused wires. - +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 3 unused wires. - +2.2.4. Executing PROC_INIT pass (extract init attributes). -7.2. Continuing SUBMOD pass. -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. +2.2.5. Executing PROC_ARST pass (detect async resets in processes). -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. - +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. -4.2. Executing OPT_MERGE pass (detect identical cells). -Consolidating read ports of memory test.memory by address: -Consolidating write ports of memory test.memory by address: -Consolidating write ports of memory test.memory using sat-based resource sharing: +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Creating submodule selstage (\selstage) of module \memdemo. - signal \d: input \d - signal \s1: input \s1 - signal \s2: input \s2 - signal $0\s2[1:0]: output \n1 - signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 - signal $reduce_bool$memdemo.v:14$32_Y: internal - signal $xor$memdemo.v:14$31_Y: internal - cell $xor$memdemo.v:14$31 ($xor) - cell $ternary$memdemo.v:14$33 ($mux) - cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). + +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +2.2.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module cmos_demo. + +2.3. Executing FUTURE pass. + +2.4. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -8. Generating Graphviz representation of design. -Running muxtree optimizer on module \memdemo.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module cmos_demo. -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 2.11.4. Finished fast OPT passes. - Optimizing cells in module \memdemo. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). 2.12. Printing statistics. @@ -36671,43 +35460,29 @@ $xor 1 2.13. Executing CHECK pass (checking for obvious problems). +Finding unused cells or wires in module \cmos_demo.. +Removed 0 unused cells and 1 unused wires. + + +2.6. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. + +2.7. Executing OPT pass (performing simple optimizations). + +2.7.1. Executing OPT_EXPR pass (perform const folding). Checking module memdemo... Found and reported 0 problems. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). -Finding unused cells or wires in module \test.. - -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). -Writing dot description to `submod_00.dot'. -Dumping module memdemo to page 1. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). - -9. Generating Graphviz representation of design. - -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `submod_01.dot'. -Dumping module scramble to page 1. Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). -Optimizing module test. - - -5.2. Executing OPT_MERGE pass (detect identical cells). - -10. Generating Graphviz representation of design. Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. @@ -36717,45 +35492,11 @@ 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - - -4.8. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. - Consolidated identical input bits for $mux cell $procmux$31: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] - New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } - Consolidated identical input bits for $mux cell $procmux$22: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] - New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } - Optimizing cells in module \test. -Performed a total of 2 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `submod_02.dot'. -Dumping module outstage to page 1. -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). +Optimizing module cmos_demo. -11. Generating Graphviz representation of design. -Optimizing module memdemo. +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `memdemo_05.dot'. +Dumping selected parts of module memdemo to page 1. Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. @@ -36772,78 +35513,36 @@ Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\cmos_demo'. +Removed a total of 0 cells. -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Running muxtree optimizer on module \memdemo.. +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \cmos_demo.. Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. + No muxes found in this module. Removed 0 multiplexer ports. - -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Writing dot description to `submod_03.dot'. -Dumping module selstage to page 1. - Optimizing cells in module \memdemo. +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \cmos_demo. Performed a total of 0 changes. -4.12. Executing OPT_MERGE pass (detect identical cells). - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `mulshift_test.ys' -- - -1. Executing Verilog-2005 frontend: mulshift_test.v +2.7.5. Executing OPT_MERGE pass (detect identical cells). -End of script. Logfile hash: 39369c49a7, CPU: user 0.04s system 0.00s, MEM: 15.38 MB peak +End of script. Logfile hash: ade9f67caf, CPU: user 0.04s system 0.00s, MEM: 13.36 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... -Parsing Verilog input from `mulshift_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). -Finding identical cells in module `\memdemo'. +Time spent: 23% 9x opt_expr (0 sec), 21% 9x opt_clean (0 sec), ... +Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. -4.13. Executing OPT_DFF pass (perform DFF optimizations). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 4 unused wires. 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). - - -5.8. Executing OPT_EXPR pass (perform const folding). -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Executing Verilog-2005 frontend: mulshift_map.v +Finding unused cells or wires in module \cmos_demo.. -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +2.7.7. Executing OPT_EXPR pass (perform const folding). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). @@ -36859,36 +35558,48 @@ Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `mulshift_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. +Optimizing module cmos_demo. -3.3. Continuing TECHMAP pass. -Optimizing module test. - -Finding unused cells or wires in module \memdemo.. +2.7.8. Finished OPT passes. (There is nothing left to do.) -5.9. Rerunning OPT passes. (Maybe there is more to do..) +2.8. Executing WREDUCE pass (reducing word size of cells). -4.15. Executing OPT_EXPR pass (perform const folding). +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \cmos_demo.. +Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +3.2. Continuing TECHMAP pass. -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -5.12. Executing OPT_MERGE pass (detect identical cells). -../../../../yosys submod.ys -Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. -Finding identical cells in module `\test'. -Removed a total of 0 cells. +2.11. Executing OPT pass (performing simple optimizations). -5.13. Executing OPT_DFF pass (perform DFF optimizations). +2.11.1. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. @@ -36896,20 +35607,7 @@ 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] @@ -36919,56 +35617,49 @@ 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Optimizing module memdemo. - -4.16. Finished OPT passes. (There is nothing left to do.) -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. - +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Optimizing module cmos_demo. -5.15. Executing OPT_EXPR pass (perform const folding). -Finding identical cells in module `\counter'. +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. +../../../../yosys submod.ys +Finding unused cells or wires in module \cmos_demo.. -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module test. +2.11.4. Finished fast OPT passes. -5.16. Rerunning OPT passes. (Maybe there is more to do..) +2.12. Printing statistics. -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +=== cmos_demo === -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. + Number of wires: 3 + Number of wire bits: 4 + Number of public wires: 3 + Number of public wire bits: 4 + Number of ports: 3 + Number of port bits: 4 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1 + $add 1 -5.19. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. +2.13. Executing CHECK pass (checking for obvious problems). +Checking module cmos_demo... +Found and reported 0 problems. -5.20. Executing OPT_DFF pass (perform DFF optimizations). +3. Executing TECHMAP pass (map to technology primitives). + +3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v Optimizing module memdemo. 4.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). - -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. @@ -36985,50 +35676,194 @@ Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \test.. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -5.22. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. +4.6. Executing OPT_DFF pass (perform DFF optimizations). -5.9. Rerunning OPT passes. (Maybe there is more to do..) +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. + -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. +4.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. + +4.9. Rerunning OPT passes. (Maybe there is more to do..) + +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. + -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. Performed a total of 0 changes. -5.12. Executing OPT_MERGE pass (detect identical cells). +4.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +4.13. Executing OPT_DFF pass (perform DFF optimizations). + +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. + +4.15. Executing OPT_EXPR pass (perform const folding). +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. + + +4. Executing ABC pass (technology mapping using ABC). +Optimizing module memdemo. + +4.16. Finished OPT passes. (There is nothing left to do.) +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' +../../../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format dot' + +4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `submod.ys' -- + +1. Executing Verilog-2005 frontend: memdemo.v +Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. + +4.1.1. Executing ABC. +Parsing Verilog input from `memdemo.v' to AST representation. +Generating RTLIL representation for module `\memdemo'. +Successfully finished Verilog frontend. + +2. Executing PREP pass. +Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu_brent_kung'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_demux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +3.2. Continuing TECHMAP pass. + +2.1. Executing HIERARCHY pass (managing design hierarchy). + +2.1.1. Analyzing design hierarchy.. +Top module: \memdemo + +2.1.2. Analyzing design hierarchy.. +Top module: \memdemo +Removed 0 unused modules. + +2.2. Executing PROC pass (convert processes to netlists). + +2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 14 assignments to connections. + +2.2.4. Executing PROC_INIT pass (extract init attributes). + +2.2.5. Executing PROC_ARST pass (detect async resets in processes). + +2.2.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. + +2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. + +2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. 5. Executing SUBMOD pass (moving cells to submodules as requested). 5.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$35' with positive edge clock. +Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$36' with positive edge clock. +Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$37' with positive edge clock. +Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$38' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$46' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$47' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$48' with positive edge clock. +Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. + created $dff cell `$procdff$49' with positive edge clock. -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Optimizing module test. +2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). -5.23. Finished OPT passes. (There is nothing left to do.) +2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `memdemo.$proc$memdemo.v:11$7'. +Cleaned up 0 empty switches. -6. Generating Graphviz representation of design. +2.2.12. Executing OPT_EXPR pass (perform const folding). +Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +Using extmapper simplemap for cells of type $xor. +Using extmapper simplemap for cells of type $and. Finding unused cells or wires in module \memdemo.. 5.2. Continuing SUBMOD pass. +Optimizing module memdemo. -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). - -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `memory_02.dot'. -Dumping module test to page 1. +2.3. Executing FUTURE pass. Creating submodule scramble (\scramble) of module \memdemo. signal \d: input \d signal $auto$rtlil.cc:2826:And$141: internal @@ -37069,28 +35904,12 @@ cell $add$memdemo.v:13$27 ($add) cell $add$memdemo.v:13$24 ($add) cell $add$memdemo.v:13$21 ($add) -Finding unused cells or wires in module \counter.. - -5.15. Executing OPT_EXPR pass (perform const folding). 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). -End of script. Logfile hash: da34c3e279, CPU: user 0.02s system 0.00s, MEM: 11.64 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 5x opt_expr (0 sec), 20% 5x opt_clean (0 sec), ... - - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `submod.ys' -- - -1. Executing Verilog-2005 frontend: memdemo.v +2.4. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. @@ -37111,22 +35930,15 @@ cell $memory\mem$rdmux[0][1][0]$82 ($mux) cell $memory\mem$rdmux[0][0][0]$79 ($mux) cell $\mem$rdreg[0] ($dff) -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 28 unused wires. - - -4.8. Executing OPT_EXPR pass (perform const folding). 7. Executing SUBMOD pass (moving cells to submodules as requested). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). -../../../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. -Optimizing module memdemo. Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 @@ -37139,23 +35951,324 @@ cell $ternary$memdemo.v:14$33 ($mux) cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) -4.9. Rerunning OPT passes. (Maybe there is more to do..) +8. Generating Graphviz representation of design. +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +9. Generating Graphviz representation of design. +Writing dot description to `submod_01.dot'. +Dumping module scramble to page 1. -8. Generating Graphviz representation of design. +10. Generating Graphviz representation of design. +Writing dot description to `submod_02.dot'. +Dumping module outstage to page 1. + +11. Generating Graphviz representation of design. +Writing dot description to `submod_03.dot'. +Dumping module selstage to page 1. + +End of script. Logfile hash: 39369c49a7, CPU: user 0.03s system 0.01s, MEM: 13.37 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 26% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... +../../../../yosys submod.ys +Warning: node n1 in graph[1] uut already defined +Some nodes will be renamed. +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/opt' +Optimizing module memdemo. + +2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 12 unused cells and 26 unused wires. + + +2.6. Executing CHECK pass (checking for obvious problems). +Checking module memdemo... +Found and reported 0 problems. + +2.7. Executing OPT pass (performing simple optimizations). + +2.7.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. + +2.7.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\memdemo'. + +Removed a total of 4 cells. + +2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. - + -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. -4.12. Executing OPT_MERGE pass (detect identical cells). +2.7.5. Executing OPT_MERGE pass (detect identical cells). + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format dot' -- + +-- Executing script file `proc_01.ys' -- + +1. Executing Verilog-2005 frontend: proc_01.v +Parsing Verilog input from `proc_01.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + +3. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 1 redundant assignment. +Promoted 0 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). +Found async reset \R in `\test.$proc$proc_01.v:2$1'. + +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\test.$proc$proc_01.v:2$1'. + 1/1: $0\Q[0:0] + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. + created $adff cell `$procdff$4' with positive edge clock and positive level reset. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif + +16.1.2. Re-integrating ABC results. +Removing empty process `test.$proc$proc_01.v:2$1'. +Cleaned up 0 empty switches. + +3.12. Executing OPT_EXPR pass (perform const folding). +ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. +Removed 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. +Optimizing module test. + + +17.1. Executing Verilog-2005 frontend: mycells.v +Parsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. +Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. +Using extmapper simplemap for cells of type $pos. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $not. +Using extmapper simplemap for cells of type $or. +No more expansions possible. +Removed 0 unused cells and 2 unused wires. + +4. Generating Graphviz representation of design. +Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. + + +4. Executing ABC pass (technology mapping using ABC). + +18. Executing Verilog backend. + +18.1. Executing BMUXMAP pass. + +18.2. Executing DEMUXMAP pass. +Writing dot description to `proc_01.dot'. +Dumping module test to page 1. + +4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. + +End of script. Logfile hash: 4fe5064e83, CPU: user 0.00s system 0.01s, MEM: 10.79 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 29% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... +Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. + +4.1.1. Executing ABC. +Dumping module `\counter'. + +End of script. Logfile hash: 1e4afcb258, CPU: user 0.05s system 0.00s, MEM: 16.07 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 57% 1x abc (0 sec), 10% 13x opt_expr (0 sec), ... +../../../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format dot' +../../../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format dot' +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. + + +2.7.7. Executing OPT_EXPR pass (perform const folding). + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `submod.ys' -- + +1. Executing Verilog-2005 frontend: memdemo.v +Optimizing module memdemo. + +2.7.8. Rerunning OPT passes. (Maybe there is more to do..) + +2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. + +2.7.11. Executing OPT_MERGE pass (detect identical cells). + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format dot' -- + +-- Executing script file `proc_02.ys' -- + +1. Executing Verilog-2005 frontend: proc_02.v +Parsing Verilog input from `proc_02.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). + +3. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 1 redundant assignment. +Promoted 0 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). +Found async reset \R in `\test.$proc$proc_02.v:3$1'. + +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\test.$proc$proc_02.v:3$1'. + 1/1: $0\Q[0:0] + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. +Warning: Async reset value `\RV' is not constant! + created $aldff cell `$procdff$4' with positive edge clock and positive level non-const reset. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `test.$proc$proc_02.v:3$1'. +Cleaned up 0 empty switches. + +3.12. Executing OPT_EXPR pass (perform const folding). +Finding unused cells or wires in module \memdemo.. + +2.7.13. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. @@ -37180,8 +36293,6 @@ Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Writing dot description to `submod_00.dot'. -Dumping module memdemo to page 1. Removed 0 redundant assignments. Promoted 14 assignments to connections. @@ -37190,26 +36301,16 @@ 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Finding identical cells in module `\memdemo'. -Removed a total of 0 cells. - -4.13. Executing OPT_DFF pass (perform DFF optimizations). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -9. Generating Graphviz representation of design. +Optimizing module test. + 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Writing dot description to `submod_01.dot'. -Dumping module scramble to page 1. - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). - -10. Generating Graphviz representation of design. Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. @@ -37248,234 +36349,44 @@ Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). -Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. -No more expansions possible. -Writing dot description to `submod_02.dot'. -Dumping module outstage to page 1. - -11. Generating Graphviz representation of design. -Finding unused cells or wires in module \memdemo.. - -4.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module memdemo. -Writing dot description to `submod_03.dot'. -Dumping module selstage to page 1. - -Removed 0 unused cells and 16 unused wires. +Removed 0 unused cells and 2 unused wires. 4. Generating Graphviz representation of design. - -2.3. Executing FUTURE pass. - -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v - -End of script. Logfile hash: 39369c49a7, CPU: user 0.03s system 0.00s, MEM: 13.38 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... -Optimizing module counter. -Parsing Verilog input from `sym_mul_cells.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -4.2. Continuing show pass. - -5.16. Finished OPT passes. (There is nothing left to do.) - -6. Executing MEMORY pass. - -6.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Optimizing module memdemo. - -4.16. Finished OPT passes. (There is nothing left to do.) -Writing dot description to `mulshift.dot'. +Writing dot description to `proc_02.dot'. Dumping module test to page 1. -Performed a total of 0 transformations. - -6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - -6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). - -2.4. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. -End of script. Logfile hash: e40f1e9ccc, CPU: user 0.02s system 0.00s, MEM: 12.18 MB peak +Warnings: 1 unique messages, 1 total +End of script. Logfile hash: c4b4f83334, CPU: user 0.01s system 0.00s, MEM: 10.78 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 27% 3x clean (0 sec), 24% 1x techmap (0 sec), ... +Time spent: 31% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... -6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. +2.3. Executing FUTURE pass. -6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +2.4. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). - -6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -6.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -6.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - -7. Executing OPT pass (performing simple optimizations). - -7.1. Executing OPT_EXPR pass (perform const folding). -../../../../yosys addshift_test.ys - -5. Executing SUBMOD pass (moving cells to submodules as requested). - -5.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module counter. - -7.2. Executing OPT_MERGE pass (detect identical cells). +../../../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format dot' Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). -../../../../yosys cmos.ys -Finding unused cells or wires in module \memdemo.. - -5.2. Continuing SUBMOD pass. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -16.1.2. Re-integrating ABC results. -ABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). -Creating submodule scramble (\scramble) of module \memdemo. - signal \d: input \d - signal $auto$rtlil.cc:2826:And$141: internal - signal \clk: input \clk - signal $auto$rtlil.cc:2826:And$117: internal - signal \mem[2]: output \mem[2] - signal \mem[1]: output \mem[1] - signal $auto$rtlil.cc:2833:Eq$99: internal - signal $auto$rtlil.cc:2826:And$103: internal - signal \mem[3]: output \mem[3] - signal $memory\mem$wrmux[1][2][0]$y$119: internal - signal $memory\mem$wrmux[0][2][0]$y$105: internal - signal $memory\mem$wrmux[3][2][0]$y$143: internal - signal $auto$rtlil.cc:2826:And$129: internal - signal $0$memwr$\mem$memdemo.v:13$2_DATA[3:0]$8: internal - signal $0$memwr$\mem$memdemo.v:13$3_DATA[3:0]$10: internal - signal $0$memwr$\mem$memdemo.v:13$4_DATA[3:0]$12: internal - signal $0$memwr$\mem$memdemo.v:13$5_DATA[3:0]$14: internal - signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: input \n1 - signal $auto$rtlil.cc:2833:Eq$101: internal - signal $memory\mem$wrmux[2][2][0]$y$131: internal - signal \mem[0]: output \mem[0] - cell $memory\mem[3]$77 ($dff) - cell $memory\mem[2]$75 ($dff) - cell $memory\mem[1]$73 ($dff) - cell $memory\mem[0]$71 ($dff) - cell $memory\mem$wrmux[3][2][0]$142 ($mux) - cell $memory\mem$wrmux[2][2][0]$130 ($mux) - cell $memory\mem$wrmux[1][2][0]$118 ($mux) - cell $memory\mem$wrmux[0][2][0]$104 ($mux) - cell $auto$memory_map.cc:97:addr_decode$140 ($and) - cell $auto$memory_map.cc:97:addr_decode$128 ($and) - cell $auto$memory_map.cc:97:addr_decode$116 ($and) - cell $auto$memory_map.cc:97:addr_decode$102 ($and) - cell $auto$memory_map.cc:92:addr_decode$98 ($not) - cell $auto$memory_map.cc:92:addr_decode$100 ($not) - cell $add$memdemo.v:13$30 ($add) - cell $add$memdemo.v:13$27 ($add) - cell $add$memdemo.v:13$24 ($add) - cell $add$memdemo.v:13$21 ($add) - -6. Executing SUBMOD pass (moving cells to submodules as requested). - -6.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Removed 0 unused cells and 10 unused wires. - -17. Generating Graphviz representation of design. - -17.1. Executing Verilog-2005 frontend: mycells.v Optimizing module memdemo. 2.7.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 14 unused wires. - - -6.2. Continuing SUBMOD pass. -Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. - -17.2. Continuing show pass. -Creating submodule outstage (\outstage) of module \memdemo. - signal $memory\mem$rdmux[0][0][0]$a$80: internal - signal $\mem$rdreg[0]$d: internal - signal \clk: input \clk - signal \mem[2]: input \mem[2] - signal \mem[1]: input \mem[1] - signal \mem[3]: input \mem[3] - signal \y: output \y - signal $0\s2[1:0]: input \n1 - signal $memory\mem$rdmux[0][0][0]$b$81: internal - signal \mem[0]: input \mem[0] - cell $memory\mem$rdmux[0][1][1]$85 ($mux) - cell $memory\mem$rdmux[0][1][0]$82 ($mux) - cell $memory\mem$rdmux[0][0][0]$79 ($mux) - cell $\mem$rdreg[0] ($dff) - -7. Executing SUBMOD pass (moving cells to submodules as requested). - -7.1. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -37484,111 +36395,88 @@ 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - -18. Executing Verilog backend. - -18.1. Executing BMUXMAP pass. - -18.2. Executing DEMUXMAP pass. Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 3 unused wires. - - -7.2. Continuing SUBMOD pass. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Dumping module `\counter'. - -End of script. Logfile hash: 1e4afcb258, CPU: user 0.08s system 0.01s, MEM: 16.07 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 49% 1x abc (0 sec), 13% 13x opt_expr (0 sec), ... -Creating submodule selstage (\selstage) of module \memdemo. - signal \d: input \d - signal \s1: input \s1 - signal \s2: input \s2 - signal $0\s2[1:0]: output \n1 - signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 - signal $reduce_bool$memdemo.v:14$32_Y: internal - signal $xor$memdemo.v:14$31_Y: internal - cell $xor$memdemo.v:14$31 ($xor) - cell $ternary$memdemo.v:14$33 ($mux) - cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 4 unused wires. + - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +2.7.7. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. --- Executing script file `addshift_test.ys' -- +2.7.14. Finished OPT passes. (There is nothing left to do.) -1. Executing Verilog-2005 frontend: addshift_test.v +2.8. Executing WREDUCE pass (reducing word size of cells). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). +Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). +Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). -8. Generating Graphviz representation of design. -Parsing Verilog input from `addshift_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. -2. Executing HIERARCHY pass (managing design hierarchy). +2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -2.1. Analyzing design hierarchy.. -Top module: \test +2.11. Executing OPT pass (performing simple optimizations). -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. +2.11.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. -3. Executing TECHMAP pass (map to technology primitives). +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. -3.1. Executing Verilog-2005 frontend: addshift_map.v +2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 4 unused wires. - -2.7.7. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `submod_00.dot'. -Dumping module memdemo to page 1. +2.11.4. Finished fast OPT passes. -9. Generating Graphviz representation of design. -Parsing Verilog input from `addshift_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. +2.12. Printing statistics. -3.2. Continuing TECHMAP pass. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +=== memdemo === -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. + Number of wires: 18 + Number of wire bits: 58 + Number of public wires: 5 + Number of public wire bits: 13 + Number of ports: 3 + Number of port bits: 9 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 11 + $add 4 + $dff 3 + $mem_v2 1 + $mux 1 + $reduce_bool 1 + $xor 1 -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +2.13. Executing CHECK pass (checking for obvious problems). +Checking module memdemo... +Found and reported 0 problems. -7.5. Executing OPT_MERGE pass (detect identical cells). -Writing dot description to `submod_01.dot'. -Dumping module scramble to page 1. +3. Executing MEMORY pass. + +3.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Optimizing module memdemo. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) -10. Generating Graphviz representation of design. - 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.6. Executing OPT_DFF pass (perform DFF optimizations). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. @@ -37597,62 +36485,21 @@ 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). -Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. -No more expansions possible. Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_flow.tex --interaction=nonstopmode - -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `submod_02.dot'. -Dumping module outstage to page 1. - -11. Generating Graphviz representation of design. -Finding unused cells or wires in module \counter.. - -7.8. Executing OPT_EXPR pass (perform const folding). - -Removed 0 unused cells and 7 unused wires. - -4. Generating Graphviz representation of design. -Writing dot description to `submod_03.dot'. -Dumping module selstage to page 1. -Writing dot description to `addshift.dot'. -Dumping module test to page 1. Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). - -End of script. Logfile hash: 99575363c1, CPU: user 0.00s system 0.00s, MEM: 10.79 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 29% 1x clean (0 sec), 28% 1x techmap (0 sec), ... - -End of script. Logfile hash: 39369c49a7, CPU: user 0.04s system 0.00s, MEM: 13.38 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... -Optimizing module counter. - -7.9. Finished OPT passes. (There is nothing left to do.) - -8. Executing FSM pass (extract and optimize FSM). - -8.1. Executing FSM_DETECT pass (finding FSMs in design). - -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). Optimizing module memdemo. 2.7.14. Finished OPT passes. (There is nothing left to do.) -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). - 2.8. Executing WREDUCE pass (reducing word size of cells). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). @@ -37664,24 +36511,9 @@ Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' - -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). - -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). - -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). - -9. Executing OPT pass (performing simple optimizations). - -9.1. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). -Optimizing module counter. 2.11. Executing OPT pass (performing simple optimizations). @@ -37694,15 +36526,25 @@ \----------------------------------------------------------------------------/ Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) --- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' -- +-- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format dot' -- --- Executing script file `techmap_01.ys' -- +-- Executing script file `proc_03.ys' -- -1. Executing Verilog-2005 frontend: techmap_01.v -Optimizing module memdemo. +1. Executing Verilog-2005 frontend: proc_03.v -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Parsing Verilog input from `techmap_01.v' to AST representation. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format dot' -- + +-- Executing script file `memory_01.ys' -- + +1. Executing Verilog-2005 frontend: memory_01.v +Parsing Verilog input from `proc_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. @@ -37715,18 +36557,240 @@ Top module: \test Removed 0 unused modules. -3. Executing TECHMAP pass (map to technology primitives). +3. Executing PROC pass (convert processes to netlists). -3.1. Executing Verilog-2005 frontend: techmap_01_map.v +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 0 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). + +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\test.$proc$proc_03.v:3$1'. + 1/1: $0\Y[0:0] + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). +Parsing Verilog input from `memory_01.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + +3. Executing PROC pass (convert processes to netlists). + +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. + +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Removed a total of 0 dead cases. + +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Removed 0 redundant assignments. +Promoted 4 assignments to connections. + +3.4. Executing PROC_INIT pass (extract init attributes). + +3.5. Executing PROC_ARST pass (detect async resets in processes). + +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\test.$proc$memory_01.v:5$2'. + +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. +Removing empty process `test.$proc$proc_03.v:3$1'. +Cleaned up 2 empty switches. + +3.12. Executing OPT_EXPR pass (perform const folding). + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$7' with positive edge clock. +Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$8' with positive edge clock. +Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$9' with positive edge clock. +Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. + created $dff cell `$procdff$10' with positive edge clock. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `test.$proc$memory_01.v:5$2'. +Cleaned up 0 empty switches. + +3.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. +Optimizing module test. +Removed 0 unused cells and 4 unused wires. + +4. Generating Graphviz representation of design. +Removed 3 unused cells and 7 unused wires. + +4. Executing MEMORY pass. + +4.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Writing dot description to `proc_03.dot'. +Dumping module test to page 1. + +End of script. Logfile hash: 5a538b5f7f, CPU: user 0.00s system 0.00s, MEM: 10.90 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 23% 1x opt_expr (0 sec), 23% 1x clean (0 sec), ... +Performed a total of 0 transformations. + +4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + Analyzing test.mem write port 0. + +4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +Checking read port `\mem'[0] in module `\test': merging output FF to cell. + Write port 0: non-transparent. + +4.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. +Removed 1 unused cells and 9 unused wires. + + +4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). + +4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +4.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Performed a total of 6 transformations. + +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + Analyzing memdemo.mem write port 0. + Analyzing memdemo.mem write port 1. + Analyzing memdemo.mem write port 2. + Analyzing memdemo.mem write port 3. + Analyzing memdemo.mem write port 4. + +3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +Finding unused cells or wires in module \test.. + +4.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +Mapping memory \mem in module \test: + created 2 $dff cells and 0 static cells of width 8. +Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] + read interface: 1 $dff and 1 $mux cells. + write interface: 2 write mux blocks. + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. + +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module test. + + +5.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. + + +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 4 (address 2'00). + Merging ports 2, 3 (address 2'11). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 2 (address 2'00). +Consolidating read ports of memory memdemo.mem by address: +Consolidating write ports of memory memdemo.mem by address: + Merging ports 0, 1 (address 2'00). + Merging ports 0, 2 (address 2'00). +Consolidating write ports of memory memdemo.mem by address: + +3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +3.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 5 unused wires. + + +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +Optimizing module memdemo. + +2.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Parsing Verilog input from `techmap_01_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. Finding unused cells or wires in module \memdemo.. 2.11.4. Finished fast OPT passes. @@ -37759,62 +36823,47 @@ 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). -Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Performed a total of 6 transformations. - /----------------------------------------------------------------------------\ - | yosys -- Yosys Open SYnthesis Suite | - | Copyright (C) 2012 - 2025 Claire Xenia Wolf | - | Distributed under an ISC-like license, type "license" to see terms | - \----------------------------------------------------------------------------/ - Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) - --- Executing script file `cmos.ys' -- - -1. Executing Verilog-2005 frontend: cmos.v -No more expansions possible. -Parsing Verilog input from `cmos.v' to AST representation. -Generating RTLIL representation for module `\cmos_demo'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Analyzing design hierarchy.. -Top module: \cmos_demo - -2.1.2. Analyzing design hierarchy.. -Top module: \cmos_demo -Removed 0 unused modules. - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). +3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + Analyzing memdemo.mem write port 0. + Analyzing memdemo.mem write port 1. + Analyzing memdemo.mem write port 2. + Analyzing memdemo.mem write port 3. + Analyzing memdemo.mem write port 4. -2.2.5. Executing PROC_ARST pass (detect async resets in processes). +3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. +3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). +../../../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format dot' +Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. + Write port 0: non-transparent. + Write port 1: non-transparent. + Write port 2: non-transparent. + Write port 3: non-transparent. + Write port 4: non-transparent. +Checking read port `\mem'[1] in module `\memdemo': no output FF found. +Checking read port `\mem'[2] in module `\memdemo': no output FF found. +Checking read port `\mem'[3] in module `\memdemo': no output FF found. +Checking read port `\mem'[4] in module `\memdemo': no output FF found. +Checking read port address `\mem'[1] in module `\memdemo': no address FF found. +Checking read port address `\mem'[2] in module `\memdemo': no address FF found. +Checking read port address `\mem'[3] in module `\memdemo': no address FF found. +Checking read port address `\mem'[4] in module `\memdemo': no address FF found. -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +3.6. Executing OPT_CLEAN pass (remove unused cells and wires). +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). -Performed a total of 6 transformations. +4. Executing OPT pass (performing simple optimizations). -3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). +4.1. Executing OPT_EXPR pass (perform const folding). Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: @@ -37843,17 +36892,6 @@ ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - Analyzing memdemo.mem write port 0. - Analyzing memdemo.mem write port 1. - Analyzing memdemo.mem write port 2. - Analyzing memdemo.mem write port 3. - Analyzing memdemo.mem write port 4. - -3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). ABC RESULTS: NAND cells: 4 ABC RESULTS: NOR cells: 4 ABC RESULTS: NOT cells: 3 @@ -37861,45 +36899,31 @@ ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. +Finding unused cells or wires in module \memdemo.. +Removed 1 unused cells and 5 unused wires. + -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). - -9.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -9.5. Executing OPT_MERGE pass (detect identical cells). +3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Removed 0 unused cells and 10 unused wires. 17. Generating Graphviz representation of design. 17.1. Executing Verilog-2005 frontend: mycells.v -Optimizing module cmos_demo. - -2.3. Executing FUTURE pass. -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 4 (address 2'00). + Merging ports 2, 3 (address 2'11). +Consolidating read ports of memory memdemo.mem by address: + Merging ports 1, 2 (address 2'00). +Consolidating read ports of memory memdemo.mem by address: +Consolidating write ports of memory memdemo.mem by address: + Merging ports 0, 1 (address 2'00). + Merging ports 0, 2 (address 2'00). +Consolidating write ports of memory memdemo.mem by address: -9.6. Executing OPT_DFF pass (perform DFF optimizations). - -Removed 0 unused cells and 7 unused wires. +3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. -4. Generating Graphviz representation of design. +3.9. Executing OPT_CLEAN pass (remove unused cells and wires). Parsing Verilog input from `mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. @@ -37908,186 +36932,201 @@ Successfully finished Verilog frontend. 17.2. Continuing show pass. +Optimizing module memdemo. + -2.4. Executing OPT_EXPR pass (perform const folding). +4.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 5 unused wires. + -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). +3.10. Executing MEMORY_COLLECT pass (generating $mem cells). Writing dot description to `counter_03.dot'. Dumping module counter to page 1. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). + +3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 18. Executing Verilog backend. 18.1. Executing BMUXMAP pass. 18.2. Executing DEMUXMAP pass. -Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. - Write port 0: non-transparent. - Write port 1: non-transparent. - Write port 2: non-transparent. - Write port 3: non-transparent. - Write port 4: non-transparent. -Checking read port `\mem'[1] in module `\memdemo': no output FF found. -Checking read port `\mem'[2] in module `\memdemo': no output FF found. -Checking read port `\mem'[3] in module `\memdemo': no output FF found. -Checking read port `\mem'[4] in module `\memdemo': no output FF found. -Checking read port address `\mem'[1] in module `\memdemo': no address FF found. -Checking read port address `\mem'[2] in module `\memdemo': no address FF found. -Checking read port address `\mem'[3] in module `\memdemo': no address FF found. -Checking read port address `\mem'[4] in module `\memdemo': no address FF found. +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -3.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module cmos_demo. +4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. -2.5. Executing OPT_CLEAN pass (remove unused cells and wires). -Writing dot description to `techmap_01.dot'. -Dumping module test to page 1. -Finding unused cells or wires in module \counter.. +4.5. Executing OPT_MERGE pass (detect identical cells). +Mapping memory \mem in module \memdemo: + created 4 $dff cells and 0 static cells of width 4. +Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] + read interface: 1 $dff and 3 $mux cells. + write interface: 12 write mux blocks. -9.8. Executing OPT_EXPR pass (perform const folding). +4. Executing OPT pass (performing simple optimizations). -End of script. Logfile hash: 49c16386d9, CPU: user 0.01s system 0.00s, MEM: 11.21 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 34% 1x techmap (0 sec), 27% 1x clean (0 sec), ... +4.1. Executing OPT_EXPR pass (perform const folding). +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +4.6. Executing OPT_DFF pass (perform DFF optimizations). Dumping module `\counter'. -End of script. Logfile hash: 1e4afcb258, CPU: user 0.09s system 0.00s, MEM: 16.07 MB peak +End of script. Logfile hash: 1e4afcb258, CPU: user 0.04s system 0.01s, MEM: 16.07 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 43% 1x abc (0 sec), 15% 13x opt_expr (0 sec), ... -Finding unused cells or wires in module \cmos_demo.. -Removed 0 unused cells and 1 unused wires. - - -2.6. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. +Time spent: 57% 1x abc (0 sec), 10% 13x opt_expr (0 sec), ... -2.7. Executing OPT pass (performing simple optimizations). +4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. + -2.7.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. -Finding unused cells or wires in module \memdemo.. -Removed 1 unused cells and 5 unused wires. +4.2. Executing OPT_MERGE pass (detect identical cells). +Finding unused cells or wires in module \test.. +Removed 1 unused cells and 6 unused wires. -3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +5.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. -9.9. Finished OPT passes. (There is nothing left to do.) +5.9. Rerunning OPT passes. (Maybe there is more to do..) -10. Generating Graphviz representation of design. -Writing dot description to `counter_01.dot'. -Dumping module counter to page 1. -Optimizing module cmos_demo. +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + -2.7.2. Executing OPT_MERGE pass (detect identical cells). +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. -11. Executing TECHMAP pass (map to technology primitives). +5.12. Executing OPT_MERGE pass (detect identical cells). +make[6]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' +../../../../yosys red_or3x1_test.ys +Finding identical cells in module `\test'. +Removed a total of 0 cells. -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 4 (address 2'00). - Merging ports 2, 3 (address 2'11). -Consolidating read ports of memory memdemo.mem by address: - Merging ports 1, 2 (address 2'00). -Consolidating read ports of memory memdemo.mem by address: -Consolidating write ports of memory memdemo.mem by address: - Merging ports 0, 1 (address 2'00). - Merging ports 0, 2 (address 2'00). -Consolidating write ports of memory memdemo.mem by address: +5.13. Executing OPT_DFF pass (perform DFF optimizations). +Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). +Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). -3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. +Removed 2 unused cells and 2 unused wires. + -3.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. +5.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. -2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \cmos_demo.. +5.16. Rerunning OPT passes. (Maybe there is more to do..) + +5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. Creating internal representation of mux trees. - No muxes found in this module. + Evaluating internal representation of mux trees. + Analyzing evaluation results. Removed 0 multiplexer ports. + -2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \cmos_demo. +5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. Performed a total of 0 changes. -2.7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. +5.19. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\test'. Removed a total of 0 cells. -2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' -Finding unused cells or wires in module \memdemo.. -Removed 0 unused cells and 5 unused wires. - +5.20. Executing OPT_DFF pass (perform DFF optimizations). +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex levels_of_abstraction.tex --interaction=nonstopmode -3.10. Executing MEMORY_COLLECT pass (generating $mem cells). +5.21. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. -3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Finding unused cells or wires in module \cmos_demo.. +5.22. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. -2.7.7. Executing OPT_EXPR pass (perform const folding). -Mapping memory \mem in module \memdemo: - created 4 $dff cells and 0 static cells of width 4. -Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] - read interface: 1 $dff and 3 $mux cells. - write interface: 12 write mux blocks. +5.23. Finished OPT passes. (There is nothing left to do.) -4. Executing OPT pass (performing simple optimizations). +6. Generating Graphviz representation of design. +Writing dot description to `memory_01.dot'. +Dumping module test to page 1. -4.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. +End of script. Logfile hash: 3f34ade637, CPU: user 0.01s system 0.01s, MEM: 12.07 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 30% 5x opt_expr (0 sec), 20% 5x opt_clean (0 sec), ... -2.7.8. Finished OPT passes. (There is nothing left to do.) + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -2.8. Executing WREDUCE pass (reducing word size of cells). +-- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format dot' -- -2.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \cmos_demo.. +-- Executing script file `memory_02.ys' -- -2.10. Executing MEMORY_COLLECT pass (generating $mem cells). +1. Executing Verilog-2005 frontend: memory_02.v +Parsing Verilog input from `memory_02.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. -2.11. Executing OPT pass (performing simple optimizations). +2. Executing HIERARCHY pass (managing design hierarchy). -2.11.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. +2.1. Analyzing design hierarchy.. +Top module: \test -2.11.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\cmos_demo'. -Removed a total of 0 cells. +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. -2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Optimizing module memdemo. - +3. Executing PROC pass (convert processes to netlists). -4.2. Executing OPT_MERGE pass (detect identical cells). -Finding unused cells or wires in module \cmos_demo.. +3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Cleaned up 0 empty switches. -2.11.4. Finished fast OPT passes. +3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. +Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. +Removed a total of 0 dead cases. -2.12. Printing statistics. +3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 0 redundant assignments. +Promoted 8 assignments to connections. -=== cmos_demo === +3.4. Executing PROC_INIT pass (extract init attributes). - Number of wires: 3 - Number of wire bits: 4 - Number of public wires: 3 - Number of public wire bits: 4 - Number of ports: 3 - Number of port bits: 4 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 1 - $add 1 +3.5. Executing PROC_ARST pass (detect async resets in processes). -2.13. Executing CHECK pass (checking for obvious problems). -Checking module cmos_demo... -Found and reported 0 problems. +3.6. Executing PROC_ROM pass (convert switches to ROMs). +Converted 0 switches. + -3. Executing TECHMAP pass (map to technology primitives). +3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\test.$proc$memory_02.v:24$19'. +Creating decoders for process `\test.$proc$memory_02.v:21$17'. +Creating decoders for process `\test.$proc$memory_02.v:17$10'. + 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 + 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 + 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 +Creating decoders for process `\test.$proc$memory_02.v:13$3'. + 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 + 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 + 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 -3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +3.8. Executing PROC_DLATCH pass (convert process syncs to latches). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. @@ -38100,21 +37139,82 @@ 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + +3.9. Executing PROC_DFF pass (convert process syncs to FFs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). +../../../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 28 unused wires. + + +4.8. Executing OPT_EXPR pass (perform const folding). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. + created $dff cell `$procdff$39' with positive edge clock. +Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. + created $dff cell `$procdff$40' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. + created $dff cell `$procdff$41' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. + created $dff cell `$procdff$42' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. + created $dff cell `$procdff$43' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. + created $dff cell `$procdff$44' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. + created $dff cell `$procdff$45' with positive edge clock. +Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. + created $dff cell `$procdff$46' with positive edge clock. + +3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). + +3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `test.$proc$memory_02.v:24$19'. +Removing empty process `test.$proc$memory_02.v:21$17'. +Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. +Removing empty process `test.$proc$memory_02.v:17$10'. +Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. +Removing empty process `test.$proc$memory_02.v:13$3'. +Cleaned up 2 empty switches. + +3.12. Executing OPT_EXPR pass (perform const folding). +Optimizing module memdemo. + +4.9. Rerunning OPT passes. (Maybe there is more to do..) + +4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \memdemo.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \memdemo. +Performed a total of 0 changes. + +4.12. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. +Finding identical cells in module `\memdemo'. +Removed a total of 0 cells. + +4.13. Executing OPT_DFF pass (perform DFF optimizations). + +4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. 4.9. Rerunning OPT passes. (Maybe there is more to do..) @@ -38132,92 +37232,112 @@ Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). +Removed 6 unused cells and 26 unused wires. + +4. Executing MEMORY pass. + +4.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). +Finding unused cells or wires in module \memdemo.. + +4.15. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). +Performed a total of 0 transformations. + +4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). + Analyzing test.memory write port 0. + Analyzing test.memory write port 1. + +4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). + +4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 4.15. Executing OPT_EXPR pass (perform const folding). +Checking read port `\memory'[0] in module `\test': merging output FF to cell. +Checking read port `\memory'[1] in module `\test': merging output FF to cell. + +4.6. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. 4.16. Finished OPT passes. (There is nothing left to do.) -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +Finding unused cells or wires in module \test.. +Removed 2 unused cells and 18 unused wires. + -11.2. Continuing TECHMAP pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu_brent_kung'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. +4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +Consolidating read ports of memory test.memory by address: +Consolidating write ports of memory test.memory by address: +Consolidating write ports of memory test.memory using sat-based resource sharing: -3.2. Continuing TECHMAP pass. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. +4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). +Performed a total of 0 transformations. + +4.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. + +4.10. Executing MEMORY_COLLECT pass (generating $mem cells). + +5. Executing OPT pass (performing simple optimizations). + +5.1. Executing OPT_EXPR pass (perform const folding). 5. Executing SUBMOD pass (moving cells to submodules as requested). 5.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Optimizing module memdemo. + +4.16. Finished OPT passes. (There is nothing left to do.) +Optimizing module test. + + +5.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. + Consolidated identical input bits for $mux cell $procmux$31: + Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 + New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] + New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } + Consolidated identical input bits for $mux cell $procmux$22: + Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 + New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] + New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } + Optimizing cells in module \test. +Performed a total of 2 changes. + +5.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.6. Executing OPT_DFF pass (perform DFF optimizations). + +5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 5.2. Continuing SUBMOD pass. +Finding unused cells or wires in module \test.. +Removed 0 unused cells and 4 unused wires. + + +5.8. Executing OPT_EXPR pass (perform const folding). Creating submodule scramble (\scramble) of module \memdemo. signal \d: input \d signal $auto$rtlil.cc:2826:And$141: internal @@ -38262,11 +37382,34 @@ 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). + +5. Executing SUBMOD pass (moving cells to submodules as requested). + +5.1. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. 6.2. Continuing SUBMOD pass. +Optimizing module test. + + +5.9. Rerunning OPT passes. (Maybe there is more to do..) + +5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Finding unused cells or wires in module \memdemo.. + +5.2. Continuing SUBMOD pass. +Running muxtree optimizer on module \test.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + +5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. +Performed a total of 0 changes. + +5.12. Executing OPT_MERGE pass (detect identical cells). Creating submodule outstage (\outstage) of module \memdemo. signal $memory\mem$rdmux[0][0][0]$a$80: internal signal $\mem$rdreg[0]$d: internal @@ -38284,8 +37427,56 @@ cell $\mem$rdreg[0] ($dff) 7. Executing SUBMOD pass (moving cells to submodules as requested). +Finding identical cells in module `\test'. +Removed a total of 0 cells. + +5.13. Executing OPT_DFF pass (perform DFF optimizations). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Creating submodule scramble (\scramble) of module \memdemo. + signal \d: input \d + signal $auto$rtlil.cc:2826:And$141: internal + signal \clk: input \clk + signal $auto$rtlil.cc:2826:And$117: internal + signal \mem[2]: output \mem[2] + signal \mem[1]: output \mem[1] + signal $auto$rtlil.cc:2833:Eq$99: internal + signal $auto$rtlil.cc:2826:And$103: internal + signal \mem[3]: output \mem[3] + signal $memory\mem$wrmux[1][2][0]$y$119: internal + signal $memory\mem$wrmux[0][2][0]$y$105: internal + signal $memory\mem$wrmux[3][2][0]$y$143: internal + signal $auto$rtlil.cc:2826:And$129: internal + signal $0$memwr$\mem$memdemo.v:13$2_DATA[3:0]$8: internal + signal $0$memwr$\mem$memdemo.v:13$3_DATA[3:0]$10: internal + signal $0$memwr$\mem$memdemo.v:13$4_DATA[3:0]$12: internal + signal $0$memwr$\mem$memdemo.v:13$5_DATA[3:0]$14: internal + signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: input \n1 + signal $auto$rtlil.cc:2833:Eq$101: internal + signal $memory\mem$wrmux[2][2][0]$y$131: internal + signal \mem[0]: output \mem[0] + cell $memory\mem[3]$77 ($dff) + cell $memory\mem[2]$75 ($dff) + cell $memory\mem[1]$73 ($dff) + cell $memory\mem[0]$71 ($dff) + cell $memory\mem$wrmux[3][2][0]$142 ($mux) + cell $memory\mem$wrmux[2][2][0]$130 ($mux) + cell $memory\mem$wrmux[1][2][0]$118 ($mux) + cell $memory\mem$wrmux[0][2][0]$104 ($mux) + cell $auto$memory_map.cc:97:addr_decode$140 ($and) + cell $auto$memory_map.cc:97:addr_decode$128 ($and) + cell $auto$memory_map.cc:97:addr_decode$116 ($and) + cell $auto$memory_map.cc:97:addr_decode$102 ($and) + cell $auto$memory_map.cc:92:addr_decode$98 ($not) + cell $auto$memory_map.cc:92:addr_decode$100 ($not) + cell $add$memdemo.v:13$30 ($add) + cell $add$memdemo.v:13$27 ($add) + cell $add$memdemo.v:13$24 ($add) + cell $add$memdemo.v:13$21 ($add) + +6. Executing SUBMOD pass (moving cells to submodules as requested). + +6.1. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. @@ -38321,89 +37512,164 @@ End of script. Logfile hash: 39369c49a7, CPU: user 0.04s system 0.00s, MEM: 13.38 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - +Time spent: 26% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 14 unused wires. + -4. Executing ABC pass (technology mapping using ABC). +6.2. Continuing SUBMOD pass. +Creating submodule outstage (\outstage) of module \memdemo. + signal $memory\mem$rdmux[0][0][0]$a$80: internal + signal $\mem$rdreg[0]$d: internal + signal \clk: input \clk + signal \mem[2]: input \mem[2] + signal \mem[1]: input \mem[1] + signal \mem[3]: input \mem[3] + signal \y: output \y + signal $0\s2[1:0]: input \n1 + signal $memory\mem$rdmux[0][0][0]$b$81: internal + signal \mem[0]: input \mem[0] + cell $memory\mem$rdmux[0][1][1]$85 ($mux) + cell $memory\mem$rdmux[0][1][0]$82 ($mux) + cell $memory\mem$rdmux[0][0][0]$79 ($mux) + cell $\mem$rdreg[0] ($dff) -4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. -Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. +7. Executing SUBMOD pass (moving cells to submodules as requested). -4.1.1. Executing ABC. -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_ast.tex --interaction=nonstopmode -make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' -Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - +7.1. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \memdemo.. +Removed 0 unused cells and 3 unused wires. + -12. Executing OPT pass (performing simple optimizations). +7.2. Continuing SUBMOD pass. +Creating submodule selstage (\selstage) of module \memdemo. + signal \d: input \d + signal \s1: input \s1 + signal \s2: input \s2 + signal $0\s2[1:0]: output \n1 + signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 + signal $reduce_bool$memdemo.v:14$32_Y: internal + signal $xor$memdemo.v:14$31_Y: internal + cell $xor$memdemo.v:14$31 ($xor) + cell $ternary$memdemo.v:14$33 ($mux) + cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) -12.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - +8. Generating Graphviz representation of design. +Writing dot description to `submod_00.dot'. +Dumping module memdemo to page 1. -12.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. +9. Generating Graphviz representation of design. +Writing dot description to `submod_01.dot'. +Dumping module scramble to page 1. -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. +10. Generating Graphviz representation of design. +Writing dot description to `submod_02.dot'. +Dumping module outstage to page 1. -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. +11. Generating Graphviz representation of design. -12.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -12.6. Executing OPT_DFF pass (perform DFF optimizations). +-- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' -- -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). -cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_abstractions.tex --interaction=nonstopmode -Finding unused cells or wires in module \counter.. -Removed 1 unused cells and 32 unused wires. - +-- Executing script file `techmap_01.ys' -- -12.8. Executing OPT_EXPR pass (perform const folding). -cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex verilog_flow.tex --interaction=nonstopmode -Optimizing module counter. +1. Executing Verilog-2005 frontend: techmap_01.v +../../../../yosys sym_mul_test.ys -12.9. Rerunning OPT passes. (Maybe there is more to do..) +5.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. +Removed 0 unused cells and 2 unused wires. + -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. +5.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module test. + +5.16. Rerunning OPT passes. (Maybe there is more to do..) + +5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. +5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \test. Performed a total of 0 changes. -12.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. +5.19. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\test'. Removed a total of 0 cells. -12.13. Executing OPT_DFF pass (perform DFF optimizations). +5.20. Executing OPT_DFF pass (perform DFF optimizations). -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. +5.21. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \test.. -12.15. Executing OPT_EXPR pass (perform const folding). +5.22. Executing OPT_EXPR pass (perform const folding). + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `red_or3x1_test.ys' -- + +1. Executing Verilog-2005 frontend: red_or3x1_test.v +Optimizing module test. + +5.23. Finished OPT passes. (There is nothing left to do.) + +6. Generating Graphviz representation of design. +Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif + +16.1.2. Re-integrating ABC results. +Parsing Verilog input from `red_or3x1_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + +3. Executing TECHMAP pass (map to technology primitives). Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: @@ -38432,29 +37698,62 @@ ABC: + write_blif /output.blif 4.1.2. Re-integrating ABC results. + +3.1. Executing Verilog-2005 frontend: red_or3x1_map.v +ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. -Optimizing module counter. +Writing dot description to `memory_02.dot'. +Dumping module test to page 1. -12.16. Finished OPT passes. (There is nothing left to do.) +End of script. Logfile hash: da34c3e279, CPU: user 0.02s system 0.00s, MEM: 11.65 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 27% 5x opt_expr (0 sec), 20% 5x opt_clean (0 sec), ... +Parsing Verilog input from `red_or3x1_map.v' to AST representation. +Generating RTLIL representation for module `\$reduce_or'. +Successfully finished Verilog frontend. -13. Executing SPLITNETS pass (splitting up multi-bit signals). +3.2. Continuing TECHMAP pass. +Removed 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. + +17.1. Executing Verilog-2005 frontend: mycells.v Removed 0 unused cells and 36 unused wires. 5. Generating Graphviz representation of design. -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +Parsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. +Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. Writing dot description to `cmos_00.dot'. Dumping module cmos_demo to page 1. +Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. 6. Executing Verilog-2005 frontend: cmos.v -Removed 0 unused cells and 2 unused wires. -14. Generating Graphviz representation of design. +18. Executing Verilog backend. +Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. + +18.1. Executing BMUXMAP pass. + +18.2. Executing DEMUXMAP pass. Parsing Verilog input from `cmos.v' to AST representation. Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. @@ -38494,6 +37793,8 @@ 7.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 7.2.9. Executing PROC_DFF pass (convert process syncs to FFs). +Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. +No more expansions possible. 7.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). @@ -38501,53 +37802,73 @@ Cleaned up 0 empty switches. 7.2.12. Executing OPT_EXPR pass (perform const folding). -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. +Dumping module `\counter'. -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). +End of script. Logfile hash: 1e4afcb258, CPU: user 0.05s system 0.00s, MEM: 16.05 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 56% 1x abc (0 sec), 10% 13x opt_expr (0 sec), ... Optimizing module cmos_demo. + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `sym_mul_test.ys' -- + +1. Executing Verilog-2005 frontend: sym_mul_test.v + 7.3. Executing FUTURE pass. - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFE_NN_ - unmapped dff cell: $_DFFE_NP_ - unmapped dff cell: $_DFFE_PN_ - unmapped dff cell: $_DFFE_PP_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ + +Removed 0 unused cells and 18 unused wires. -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. +4. Executing SPLITNETS pass (splitting up multi-bit signals). -16. Executing ABC pass (technology mapping using ABC). +5. Generating Graphviz representation of design. + +5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v +Parsing Verilog input from `sym_mul_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. +Parsing Verilog input from `red_or3x1_cells.v' to AST representation. +Generating RTLIL representation for module `\OR3X1'. +Successfully finished Verilog frontend. + +5.2. Continuing show pass. + +3. Executing TECHMAP pass (map to technology primitives). + +3.1. Executing Verilog-2005 frontend: sym_mul_map.v 7.4. Executing OPT_EXPR pass (perform const folding). +Parsing Verilog input from `sym_mul_map.v' to AST representation. +Generating RTLIL representation for module `\$mul'. +Successfully finished Verilog frontend. -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. +3.2. Continuing TECHMAP pass. +Writing dot description to `red_or3x1.dot'. +Dumping module test to page 1. -16.1.1. Executing ABC. +End of script. Logfile hash: d6e7304939, CPU: user 0.00s system 0.00s, MEM: 11.16 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 38% 1x techmap (0 sec), 27% 4x read_verilog (0 sec), ... Optimizing module cmos_demo. 7.5. Executing OPT_CLEAN pass (remove unused cells and wires). +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_parsetree.tex --interaction=nonstopmode +Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. +No more expansions possible. Finding unused cells or wires in module \cmos_demo.. Removed 0 unused cells and 1 unused wires. @@ -38559,9 +37880,20 @@ 7.7. Executing OPT pass (performing simple optimizations). 7.7.1. Executing OPT_EXPR pass (perform const folding). + +Removed 0 unused cells and 6 unused wires. + +4. Generating Graphviz representation of design. + +4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Optimizing module cmos_demo. 7.7.2. Executing OPT_MERGE pass (detect identical cells). +Parsing Verilog input from `sym_mul_cells.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. + +4.2. Continuing show pass. Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. @@ -38576,6 +37908,12 @@ Performed a total of 0 changes. 7.7.5. Executing OPT_MERGE pass (detect identical cells). +Writing dot description to `sym_mul.dot'. +Dumping module test to page 1. + +End of script. Logfile hash: 28982f840f, CPU: user 0.01s system 0.00s, MEM: 10.91 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 28% 1x clean (0 sec), 27% 4x read_verilog (0 sec), ... Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. @@ -38590,6 +37928,47 @@ 7.8. Executing WREDUCE pass (reducing word size of cells). 7.9. Executing OPT_CLEAN pass (remove unused cells and wires). +../../../../yosys mymul_test.ys +Writing dot description to `submod_03.dot'. +Dumping module selstage to page 1. +Parsing Verilog input from `techmap_01.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + +3. Executing TECHMAP pass (map to technology primitives). + +3.1. Executing Verilog-2005 frontend: techmap_01_map.v + +End of script. Logfile hash: 39369c49a7, CPU: user 0.03s system 0.00s, MEM: 13.38 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 26% 12x opt_clean (0 sec), 22% 9x opt_expr (0 sec), ... +Parsing Verilog input from `techmap_01_map.v' to AST representation. +Generating RTLIL representation for module `\$add'. +Successfully finished Verilog frontend. + +3.2. Continuing TECHMAP pass. +Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. +No more expansions possible. + +Removed 0 unused cells and 7 unused wires. + +4. Generating Graphviz representation of design. +Writing dot description to `techmap_01.dot'. +Dumping module test to page 1. + +End of script. Logfile hash: 49c16386d9, CPU: user 0.01s system 0.00s, MEM: 11.21 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 34% 1x techmap (0 sec), 27% 1x clean (0 sec), ... +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/selections' Finding unused cells or wires in module \cmos_demo.. 7.10. Executing MEMORY_COLLECT pass (generating $mem cells). @@ -38631,8 +38010,69 @@ 8. Executing TECHMAP pass (map to technology primitives). 8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif + +16.1.2. Re-integrating ABC results. +ABC RESULTS: NAND cells: 4 +ABC RESULTS: NOR cells: 4 +ABC RESULTS: NOT cells: 3 +ABC RESULTS: internal signals: 5 +ABC RESULTS: input signals: 4 +ABC RESULTS: output signals: 2 +Removing temp directory. +../../../../yosys mulshift_test.ys +Removed 0 unused cells and 10 unused wires. + +17. Generating Graphviz representation of design. + +17.1. Executing Verilog-2005 frontend: mycells.v +Parsing Verilog input from `mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +17.2. Continuing show pass. +Writing dot description to `counter_03.dot'. +Dumping module counter to page 1. + +18. Executing Verilog backend. + +18.1. Executing BMUXMAP pass. + +18.2. Executing DEMUXMAP pass. +Dumping module `\counter'. + +End of script. Logfile hash: 1e4afcb258, CPU: user 0.05s system 0.00s, MEM: 16.05 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 56% 1x abc (0 sec), 10% 13x opt_expr (0 sec), ... +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/synth_flow' Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. @@ -38663,11 +38103,89 @@ 8.2. Continuing TECHMAP pass. Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `mymul_test.ys' -- + +1. Executing Verilog-2005 frontend: mymul_test.v Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $mux. +Parsing Verilog input from `mymul_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + +3. Executing TECHMAP pass (map to technology primitives). + +3.1. Executing Verilog-2005 frontend: sym_mul_map.v +Parsing Verilog input from `sym_mul_map.v' to AST representation. +Generating RTLIL representation for module `\$mul'. +Successfully finished Verilog frontend. + +3.2. Executing Verilog-2005 frontend: mymul_map.v +Parsing Verilog input from `mymul_map.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. + +3.3. Continuing TECHMAP pass. +Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' +Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. +No more expansions possible. + +Removed 0 unused cells and 10 unused wires. +Renaming module \test to \test_mapped. + +4. Executing Verilog-2005 frontend: mymul_test.v +Parsing Verilog input from `mymul_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +5. Executing MITER pass (creating miter circuit). +Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". + +6. Executing FLATTEN pass (flatten design). + + +7. Executing SAT pass (solving SAT problems in the circuit). + +Setting up SAT problem: +Final constraint equation: { } = { } +Imported 9 cells to SAT database. +Import proof-constraint: \trigger = 1'0 +Final proof equation: \trigger = 1'0 + +Solving problem with 107 variables and 283 clauses.. +SAT proof finished - no model found: SUCCESS! + + /$$$$$$ /$$$$$$$$ /$$$$$$$ + /$$__ $$ | $$_____/ | $$__ $$ + | $$ \ $$ | $$ | $$ \ $$ + | $$ | $$ | $$$$$ | $$ | $$ + | $$ | $$ | $$__/ | $$ | $$ + | $$/$$ $$ | $$ | $$ | $$ + | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ + \____ $$$|__/|________/|__/|_______/|__/ + \__/ + +8. Executing SPLITNETS pass (splitting up multi-bit signals). Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $or. @@ -38682,71 +38200,122 @@ Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. 10.1.1. Executing ABC. -cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex simplified_rtlil.tex --interaction=nonstopmode -entering extended mode -(./levels_of_abstraction.texThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +../../../../yosys addshift_test.ys -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))entering extended mode -(./basics_parsetree.tex -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)entering extended mode -(./basics_flow.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.texThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +9. Generating Graphviz representation of design. +Writing dot description to `mymul.dot'. +Dumping module test_mapped to page 1. -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.texRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +End of script. Logfile hash: 449524bce2, CPU: user 0.01s system 0.00s, MEM: 13.47 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 26% 2x clean (0 sec), 16% 6x read_verilog (0 sec), ... + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `mulshift_test.ys' -- + +1. Executing Verilog-2005 frontend: mulshift_test.v +Parsing Verilog input from `mulshift_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + +3. Executing TECHMAP pass (map to technology primitives). + +3.1. Executing Verilog-2005 frontend: sym_mul_map.v +Parsing Verilog input from `sym_mul_map.v' to AST representation. +Generating RTLIL representation for module `\$mul'. +Successfully finished Verilog frontend. + +3.2. Executing Verilog-2005 frontend: mulshift_map.v +Parsing Verilog input from `mulshift_map.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. + +3.3. Continuing TECHMAP pass. +Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. + + /----------------------------------------------------------------------------\ + | yosys -- Yosys Open SYnthesis Suite | + | Copyright (C) 2012 - 2025 Claire Xenia Wolf | + | Distributed under an ISC-like license, type "license" to see terms | + \----------------------------------------------------------------------------/ + Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) + +-- Executing script file `addshift_test.ys' -- + +1. Executing Verilog-2005 frontend: addshift_test.v +Parsing Verilog input from `addshift_test.v' to AST representation. +Generating RTLIL representation for module `\test'. +Successfully finished Verilog frontend. + +2. Executing HIERARCHY pass (managing design hierarchy). + +2.1. Analyzing design hierarchy.. +Top module: \test + +2.2. Analyzing design hierarchy.. +Top module: \test +Removed 0 unused modules. + +3. Executing TECHMAP pass (map to technology primitives). + +3.1. Executing Verilog-2005 frontend: addshift_map.v +Parsing Verilog input from `addshift_map.v' to AST representation. +Generating RTLIL representation for module `\$add'. +Successfully finished Verilog frontend. + +3.2. Continuing TECHMAP pass. +Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. +No more expansions possible. + +Removed 0 unused cells and 7 unused wires. + +4. Generating Graphviz representation of design. +Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. +No more expansions possible. +Writing dot description to `addshift.dot'. +Dumping module test to page 1. + +Removed 0 unused cells and 16 unused wires. + +4. Generating Graphviz representation of design. + +4.1. Executing Verilog-2005 frontend: sym_mul_cells.v +Parsing Verilog input from `sym_mul_cells.v' to AST representation. +Generating RTLIL representation for module `\MYMUL'. +Successfully finished Verilog frontend. + +4.2. Continuing show pass. +Writing dot description to `mulshift.dot'. +Dumping module test to page 1. + +End of script. Logfile hash: e40f1e9ccc, CPU: user 0.01s system 0.00s, MEM: 12.18 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 28% 3x clean (0 sec), 26% 1x techmap (0 sec), ... + +End of script. Logfile hash: 99575363c1, CPU: user 0.01s system 0.00s, MEM: 10.79 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 29% 1x clean (0 sec), 26% 1x techmap (0 sec), ... +make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/techmap' +This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_flow.tex --interaction=nonstopmode +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_ast.tex --interaction=nonstopmode +Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif @@ -38774,24 +38343,20 @@ ABC: + write_blif /output.blif 4.1.2. Re-integrating ABC results. - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.texABC RESULTS: NOR cells: 3 +ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)Removed 0 unused cells and 36 unused wires. +Removed 0 unused cells and 36 unused wires. 5. Generating Graphviz representation of design. -)Writing dot description to `cmos_00.dot'. +Writing dot description to `cmos_00.dot'. Dumping module cmos_demo to page 1. -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty 6. Executing Verilog-2005 frontend: cmos.v -)Parsing Verilog input from `cmos.v' to AST representation. +Parsing Verilog input from `cmos.v' to AST representation. Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. @@ -38807,7 +38372,7 @@ Removed 0 unused modules. 7.2. Executing PROC pass (convert processes to netlists). -) + 7.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. @@ -38839,16 +38404,13 @@ 7.2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module cmos_demo. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex 7.3. Executing FUTURE pass. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) 7.4. Executing OPT_EXPR pass (perform const folding). -) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))Optimizing module cmos_demo. +entering extended mode +(./levels_of_abstraction.texOptimizing module cmos_demo. 7.5. Executing OPT_CLEAN pass (remove unused cells and wires). -cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_rtlil.tex --interaction=nonstopmode Finding unused cells or wires in module \cmos_demo.. Removed 0 unused cells and 1 unused wires. @@ -38860,12 +38422,10 @@ 7.7. Executing OPT pass (performing simple optimizations). 7.7.1. Executing OPT_EXPR pass (perform const folding). - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defOptimizing module cmos_demo. +Optimizing module cmos_demo. 7.7.2. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgFinding identical cells in module `\cmos_demo'. +Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). @@ -38883,18 +38443,25 @@ Removed a total of 0 cells. 7.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). -)Finding unused cells or wires in module \cmos_demo.. +Finding unused cells or wires in module \cmos_demo.. -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty 7.7.7. Executing OPT_EXPR pass (perform const folding). -Optimizing module cmos_demo. + +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsOptimizing module cmos_demo. 7.7.8. Finished OPT passes. (There is nothing left to do.) 7.8. Executing WREDUCE pass (reducing word size of cells). 7.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \cmos_demo.. +cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_abstractions.tex --interaction=nonstopmode + +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styFinding unused cells or wires in module \cmos_demo.. 7.10. Executing MEMORY_COLLECT pass (generating $mem cells). @@ -38904,12 +38471,12 @@ Optimizing module cmos_demo. 7.11.2. Executing OPT_MERGE pass (detect identical cells). - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.styFinding identical cells in module `\cmos_demo'. +Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \cmos_demo.. + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)Finding unused cells or wires in module \cmos_demo.. 7.11.4. Finished fast OPT passes. @@ -38934,84 +38501,14 @@ Found and reported 0 problems. 8. Executing TECHMAP pass (map to technology primitives). -This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. 8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.52/share/techmap.v +)cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex verilog_flow.tex --interaction=nonstopmode -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -16.1.2. Re-integrating ABC results. - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.styABC RESULTS: NAND cells: 4 -ABC RESULTS: NOR cells: 4 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. -))Removed 0 unused cells and 10 unused wires. - -17. Generating Graphviz representation of design. - -17.1. Executing Verilog-2005 frontend: mycells.v -Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. - -17.2. Continuing show pass. -)Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg -18. Executing Verilog backend. -) -18.1. Executing BMUXMAP pass. - -18.2. Executing DEMUXMAP pass. -Dumping module `\counter'. - -End of script. Logfile hash: 1e4afcb258, CPU: user 0.06s system 0.00s, MEM: 16.07 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 55% 1x abc (0 sec), 10% 4x read_verilog (0 sec), ... - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texmake[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/intro' -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif @@ -39045,11 +38542,7 @@ ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.styentering extended mode -(./basics_ast.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.texRemoved 0 unused cells and 1142 unused wires. +Removed 0 unused cells and 1142 unused wires. 11. Generating Graphviz representation of design. @@ -39062,29 +38555,15 @@ Successfully finished Verilog frontend. 11.2. Continuing show pass. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.texWriting dot description to `cmos_01.dot'. +Writing dot description to `cmos_01.dot'. Dumping module cmos_demo to page 1. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -End of script. Logfile hash: d5ca73b3cb, CPU: user 0.06s system 0.01s, MEM: 16.20 MB peak +End of script. Logfile hash: d5ca73b3cb, CPU: user 0.06s system 0.00s, MEM: 16.18 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 65% 2x abc (0 sec), 11% 7x read_verilog (0 sec), ... -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))))cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_flow.tex --interaction=nonstopmode - (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f) -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. +Time spent: 68% 2x abc (0 sec), 10% 7x read_verilog (0 sec), ... + +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgcd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex simplified_rtlil.tex --interaction=nonstopmode +)Parsing Verilog input from `/build/reproducible-path/yosys-0.52/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. @@ -39113,46 +38592,26 @@ 8.2. Continuing TECHMAP pass. Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. +Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.styUsing template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -texUsing template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_rtlil.tex --interaction=nonstopmode +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.styUsing template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $mux. -) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))))entering extended mode -(./basics_abstractions.tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsUsing template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.stycd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_flow.tex --interaction=nonstopmode + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.styUsing template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $or. No more expansions possible. @@ -39160,1872 +38619,1973 @@ 9. Executing SPLITNETS pass (splitting up multi-bit signals). -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) 10. Executing ABC pass (technology mapping using ABC). 10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. +Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. + +10.1.1. Executing ABC. +cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex approach_flow.tex --interaction=nonstopmode + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.styThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.styThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) +.code.texThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. - -10.1.1. Executing ABC. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def)) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)))This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) +tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.styentering extended mode +(./basics_parsetree.tex +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +ric.code.texentering extended mode +(./basics_ast.tex +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) +.code.tex)This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -texentering extended mode -(./verilog_flow.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) +thmetics.code.tex LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -texThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex)entering extended mode -(./simplified_rtlil.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex approach_flow.tex --interaction=nonstopmode -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.texentering extended mode +(./verilog_flow.tex +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))) +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - +x +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -)) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) +.code.tex +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x +x))) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.texThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.texentering extended mode +(./basics_flow.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) )))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex )) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) +tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))entering extended mode -(./overview_flow.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib +ABC: Parsing finished successfully. Parsing time = 0.00 sec +ABC: Warning: Templates are not defined. +ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". +ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). +ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". +ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec +ABC: Memory = 0.01 MB. Time = 0.00 sec +ABC: + strash +ABC: + &get -n +ABC: + &fraig -x +ABC: + &put +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + &get -n +ABC: + &dch -f +ABC: + &nf +ABC: + &put +ABC: + write_blif /output.blif + +10.1.2. Re-integrating ABC results. +ABC RESULTS: NOR cells: 3 +ABC RESULTS: NOT cells: 2 +ABC RESULTS: internal signals: 14 +ABC RESULTS: input signals: 2 +ABC RESULTS: output signals: 2 +Removing temp directory. +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +Removed 0 unused cells and 1142 unused wires. + +11. Generating Graphviz representation of design. + +11.1. Executing Verilog-2005 frontend: ../intro/mycells.v +Parsing Verilog input from `../intro/mycells.v' to AST representation. +Generating RTLIL representation for module `\NOT'. +Generating RTLIL representation for module `\NAND'. +Generating RTLIL representation for module `\NOR'. +Generating RTLIL representation for module `\DFF'. +Successfully finished Verilog frontend. + +11.2. Continuing show pass. +Writing dot description to `cmos_01.dot'. +Dumping module cmos_demo to page 1. + +End of script. Logfile hash: d5ca73b3cb, CPU: user 0.06s system 0.00s, MEM: 16.19 MB peak +Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) +Time spent: 69% 2x abc (0 sec), 10% 7x read_verilog (0 sec), ... + (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.stymake[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)))This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.styentering extended mode +(./overview_rtlil.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)) +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.styentering extended mode +(./basics_abstractions.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))entering extended mode +(./overview_flow.texThis is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.texentering extended mode -(./overview_rtlil.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex)) +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))entering extended mode +(./approach_flow.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty))) +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex))) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +x +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex )) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) + (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex +.code.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +f)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texentering extended mode +(./simplified_rtlil.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +LaTeX2e <2024-11-01> patch level 2 +L3 programming layer <2025-01-18> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) - +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2024/06/29 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +x +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) +code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -)This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. - +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +ex +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex)) +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)) +tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -)) +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -))) +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) +f) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex)) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) +tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))) +thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.texentering extended mode -(./approach_flow.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.texRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.01 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -10.1.2. Re-integrating ABC results. -)ABC RESULTS: NOR cells: 3 -ABC RESULTS: NOT cells: 2 -ABC RESULTS: internal signals: 14 -ABC RESULTS: input signals: 2 -ABC RESULTS: output signals: 2 -Removing temp directory. - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -LaTeX2e <2024-11-01> patch level 2 -L3 programming layer <2025-01-18> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsRemoved 0 unused cells and 1142 unused wires. - -11. Generating Graphviz representation of design. - -11.1. Executing Verilog-2005 frontend: ../intro/mycells.v -)Parsing Verilog input from `../intro/mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. - -11.2. Continuing show pass. - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.texWriting dot description to `cmos_01.dot'. -Dumping module cmos_demo to page 1. - -End of script. Logfile hash: d5ca73b3cb, CPU: user 0.06s system 0.00s, MEM: 16.20 MB peak -Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 72% 2x abc (0 sec), 9% 7x read_verilog (0 sec), ... - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)make[6]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/code_examples/show' - (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +x (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) +x)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex - +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -)) +zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex)) +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -Document Class: article 2024/06/29 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty))))) +No file verilog_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +[Loading MPS to PDF converter (version 2006.09.02).] +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex)) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +thmetics.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -)) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex))) +x) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. + + +[1) +Non-PDF special ignored! + papersize=312.83241pt,276.60544pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex )) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -Package pgfplots: loading complementary utilities for your pgf version... - +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex)) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -)) - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex))))) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex +zlibrarydecorations.pathmorphing.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +fshading.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex) +No file basics_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex))) +[Loading MPS to PDF converter (version 2006.09.02).] +)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty})) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)] +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) (./verilog_flow.aux) ))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +Output written on verilog_flow.pdf (1 page, 9528 bytes). +Transcript written on verilog_flow.log. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +)pdf2svg internals/verilog_flow.pdf internals/verilog_flow.svg + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex)) +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 11. + + +[1 +Non-PDF special ignored! + papersize=454.72775pt,87.0827pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex +gfsupp_loader.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex + (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty +.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex +tructure.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex)))) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -)) +x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex +de.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex)) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex +.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex)) +tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -)) +)))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex))) +.code.tex}] (./basics_flow.aux) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -Package pgfplots: loading complementary utilities for your pgf version... - +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)))) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex)))))) +gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)))))))) +Output written on basics_flow.pdf (1 page, 14279 bytes). +Transcript written on basics_flow.log. +)) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex +tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex +tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex +x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +d.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.stypdf2svg primer/basics_flow.pdf primer/basics_flow.svg +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +e.tex For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) +de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex +fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) +shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex - +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex))) +No file overview_rtlil.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -Package pgfplots: loading complementary utilities for your pgf version... +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex) +e.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex +decorations.pathmorphing.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex +[Loading MPS to PDF converter (version 2006.09.02).] +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)))) +decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex)) +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -)) + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex) +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex)) +x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex))) +d.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -)) +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) +x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex))) +de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex)) +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex +zlibrarytopaths.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex)))))) +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex))) +decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex))) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -No file levels_of_abstraction.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -[Loading MPS to PDF converter (version 2006.09.02).] -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 11. + + +[1) +Non-PDF special ignored! + papersize=477.05351pt,211.8978pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex))) +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary @@ -41036,97 +40596,97 @@ decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) -No file basics_ast.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))) -[Loading MPS to PDF converter (version 2006.09.02).] -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex +gfsupp_loader.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex))) +gfsupp_pgfutil-common-lists.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file basics_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -[Loading MPS to PDF converter (version 2006.09.02).] -)) +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -No file basics_abstractions.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) +x.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -[Loading MPS to PDF converter (version 2006.09.02).] +d.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex ) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex)) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. - - -[1 -Non-PDF special ignored! - papersize=427.40239pt,233.55751pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map)) +de.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf @@ -41135,55 +40695,84 @@ tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. - - -[1 -Non-PDF special ignored! - papersize=265.11548pt,137.71072pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +No file basics_parsetree.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex +de.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex) +zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex))))) +de.tex)))}] (./overview_rtlil.aux) ) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +Output written on overview_rtlil.pdf (1 page, 17629 bytes). +Transcript written on overview_rtlil.log. +) +No file basics_abstractions.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +[Loading MPS to PDF converter (version 2006.09.02).] +)pdf2svg internals/overview_rtlil.pdf internals/overview_rtlil.svg + (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex)) +No file levels_of_abstraction.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex +zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +de.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex -No file verilog_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) +s.geometric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) +e.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) @@ -41193,41 +40782,71 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) -No file simplified_rtlil.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex + +No file basics_ast.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +))) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. + + +[1 +Non-PDF special ignored! + papersize=466.24498pt,209.70209pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -[Loading MPS to PDF converter (version 2006.09.02).] -) -No file overview_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii)) +decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex) +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex -[Loading MPS to PDF converter (version 2006.09.02).] -)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex +de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. - on input line 11. + on input line 12. [1 Non-PDF special ignored! - papersize=384.5717pt,194.61621pt + papersize=265.11548pt,137.71072pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +No file simplified_rtlil.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -41237,31 +40856,12 @@ [1 Non-PDF special ignored! - papersize=454.72775pt,87.0827pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def}] -(./levels_of_abstraction.aux)) ) -No file overview_rtlil.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -)} (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty] (./basics_ast.aux) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) ) -Output written on levels_of_abstraction.pdf (1 page, 16544 bytes). -Transcript written on levels_of_abstraction.log. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) + papersize=384.5717pt,194.61621pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./basics_ast.aux) ) Output written on basics_ast.pdf (1 page, 7708 bytes). Transcript written on basics_ast.log. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.texpdf2svg primer/levels_of_abstraction.pdf primer/levels_of_abstraction.svg +pdf2svg primer/basics_ast.pdf primer/basics_ast.svg Package pgfplots Warning: running in backwards compatibility mode (unsuitable t @@ -41273,25 +40873,24 @@ [1 Non-PDF special ignored! papersize=332.32571pt,94.39902pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map))pdf2svg primer/basics_ast.pdf primer/basics_ast.svg +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./basics_parsetree.aux) ) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarycalc.code.tex) +Output written on basics_parsetree.pdf (1 page, 10896 bytes). +Transcript written on basics_parsetree.log. -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file basics_parsetree.aux. +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.defpdf2svg primer/basics_parsetree.pdf primer/basics_parsetree.svg +) +No file approach_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. - - -[1 -Non-PDF special ignored! - papersize=312.83241pt,276.60544pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -41301,33 +40900,12 @@ [1 Non-PDF special ignored! - papersize=470.39511pt,294.14694pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./basics_flow.aux) )< - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 11. - - -[1/usr -/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb -Non-PDF special ignored! - papersize=477.05351pt,211.8978pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map>}] (./basics_abstractions.aux + papersize=427.40239pt,233.55751pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./basics_abstractions.aux ) ) -Output written on basics_flow.pdf (1 page, 14279 bytes). -Transcript written on basics_flow.log. -pdf2svg primer/basics_flow.pdf primer/basics_flow.svg - Output written on basics_abstractions.pdf (1 page, 16368 bytes). Transcript written on basics_abstractions.log. pdf2svg primer/basics_abstractions.pdf primer/basics_abstractions.svg -}] (./simplified_rtlil.aux) ) - -Output written on simplified_rtlil.pdf (1 page, 15590 bytes). -Transcript written on simplified_rtlil.log. Package pgfplots Warning: running in backwards compatibility mode (unsuitable t @@ -41336,40 +40914,37 @@ on input line 12. -[1) +[1 Non-PDF special ignored! - papersize=466.24498pt,209.70209pt -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map + papersize=398.7384pt,152.54237pt +{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarycalc.code.texpdf2svg internals/simplified_rtlil.pdf internals/simplified_rtlil.svg -)}]} (./verilog_flow.aux)] ) )) -No file approach_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)}] (./simplified_rtlil.aux) ) + [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) -Output written on verilog_flow.pdf (1 page, 9528 bytes). -Transcript written on verilog_flow.log. -) -Output written on overview_flow.pdf (1 page, 15179 bytes). -Transcript written on overview_flow.log. -pdf2svg internals/verilog_flow.pdf internals/verilog_flow.svg -pdf2svg internals/overview_flow.pdf internals/overview_flow.svg -}] (./overview_rtlil.aux) ) -Output written on overview_rtlil.pdf (1 page, 17629 bytes). -Transcript written on overview_rtlil.log. -pdf2svg internals/overview_rtlil.pdf internals/overview_rtlil.svg -}] (./basics_parsetree.aux) ) - +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))}] (./approach_flow.aux) ) +Output written on simplified_rtlil.pdf (1 page, 15590 bytes). +Transcript written on simplified_rtlil.log. + +Output written on approach_flow.pdf (1 page, 15288 bytes). +Transcript written on approach_flow.log. +pdf2svg internals/simplified_rtlil.pdf internals/simplified_rtlil.svg +pdf2svg internals/approach_flow.pdf internals/approach_flow.svg +}] +(./levels_of_abstraction.aux) ) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -41379,25 +40954,36 @@ [1 Non-PDF special ignored! - papersize=398.7384pt,152.54237pt + papersize=470.39511pt,294.14694pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -Output written on basics_parsetree.pdf (1 page, 10896 bytes). -Transcript written on basics_parsetree.log. -pdf2svg primer/basics_parsetree.pdf primer/basics_parsetree.svg -}] (./approach_flow.aux) ) -Output written on approach_flow.pdf (1 page, 15288 bytes). -Transcript written on approach_flow.log. -pdf2svg internals/approach_flow.pdf internals/approach_flow.svg +Output written on overview_flow.pdf (1 page, 15179 bytes). +Transcript written on overview_flow.log. +pdf2svg internals/overview_flow.pdf internals/overview_flow.svg make[5]: Leaving directory '/build/reproducible-path/yosys-0.52/docs/source/_images' make -C source/_images convert make[5]: Entering directory '/build/reproducible-path/yosys-0.52/docs/source/_images' -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/splice.pdf code_examples/show/splice.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_first.pdf code_examples/show/example_first.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_second.pdf code_examples/show/example_second.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/splice.pdf code_examples/show/splice.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_third.pdf code_examples/show/example_third.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/cmos_00.pdf code_examples/show/cmos_00.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/cmos_01.pdf code_examples/show/cmos_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mymul.pdf code_examples/techmap/mymul.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/addshift.pdf code_examples/techmap/addshift.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_00.pdf code_examples/intro/counter_00.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_01.pdf code_examples/intro/counter_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_02.pdf code_examples/intro/counter_02.dot @@ -41419,49 +41005,11 @@ TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_01.pdf code_examples/selections/submod_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_02.pdf code_examples/selections/submod_02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_03.pdf code_examples/selections/submod_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mymul.pdf code_examples/techmap/mymul.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/addshift.pdf code_examples/techmap/addshift.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share.pdf code_examples/opt/opt_share.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/extensions/test1.pdf code_examples/extensions/test1.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.dot -TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.dot %PDF-1.7 %µí®û 4 0 obj @@ -41614,6 +41162,8 @@ startxref 8666 %%EOF +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.dot %PDF-1.7 %µí®û 4 0 obj @@ -41750,6 +41300,9 @@ startxref 8072 %%EOF +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.dot %PDF-1.7 %µí®û 4 0 obj @@ -41886,6 +41439,7 @@ startxref 6727 %%EOF +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.dot %PDF-1.7 %µí®û 4 0 obj @@ -42028,6 +41582,27 @@ startxref 6855 %%EOF +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/extensions/test1.pdf code_examples/extensions/test1.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.dot +TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_proc.pdf code_examples/fifo/addr_gen_proc.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.dot @@ -42043,12 +41618,23 @@ TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffs.pdf code_examples/fifo/rdata_map_ffs.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.dot -pdf2svg code_examples/show/splice.pdf code_examples/show/splice.svg pdf2svg code_examples/show/example_first.pdf code_examples/show/example_first.svg pdf2svg code_examples/show/example_second.pdf code_examples/show/example_second.svg +pdf2svg code_examples/show/splice.pdf code_examples/show/splice.svg pdf2svg code_examples/show/example_third.pdf code_examples/show/example_third.svg pdf2svg code_examples/show/cmos_00.pdf code_examples/show/cmos_00.svg pdf2svg code_examples/show/cmos_01.pdf code_examples/show/cmos_01.svg +pdf2svg code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.svg +pdf2svg code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.svg +pdf2svg code_examples/techmap/mymul.pdf code_examples/techmap/mymul.svg +pdf2svg code_examples/techmap/addshift.pdf code_examples/techmap/addshift.svg +pdf2svg code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.svg +pdf2svg code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.svg +pdf2svg code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.svg +pdf2svg code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.svg +pdf2svg code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.svg +pdf2svg code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.svg +pdf2svg code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.svg pdf2svg code_examples/intro/counter_00.pdf code_examples/intro/counter_00.svg pdf2svg code_examples/intro/counter_01.pdf code_examples/intro/counter_01.svg pdf2svg code_examples/intro/counter_02.pdf code_examples/intro/counter_02.svg @@ -42070,28 +41656,25 @@ pdf2svg code_examples/selections/submod_01.pdf code_examples/selections/submod_01.svg pdf2svg code_examples/selections/submod_02.pdf code_examples/selections/submod_02.svg pdf2svg code_examples/selections/submod_03.pdf code_examples/selections/submod_03.svg -pdf2svg code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.svg -pdf2svg code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.svg -pdf2svg code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.svg -pdf2svg code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.svg -pdf2svg code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.svg -pdf2svg code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.svg -pdf2svg code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.svg -pdf2svg code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.svg -pdf2svg code_examples/techmap/mymul.pdf code_examples/techmap/mymul.svg -pdf2svg code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.svg -pdf2svg code_examples/techmap/addshift.pdf code_examples/techmap/addshift.svg +pdf2svg code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.svg +pdf2svg code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.svg +pdf2svg code_examples/opt/opt_share.pdf code_examples/opt/opt_share.svg +pdf2svg code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.svg +pdf2svg code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.svg +pdf2svg code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.svg +pdf2svg code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.svg +pdf2svg code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.svg pdf2svg code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.svg -pdf2svg code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.svg -pdf2svg code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.svg pdf2svg code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.svg pdf2svg code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.svg -pdf2svg code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.svg -pdf2svg code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.svg pdf2svg code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.svg pdf2svg code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.svg pdf2svg code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.svg pdf2svg code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.svg +pdf2svg code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.svg +pdf2svg code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.svg +pdf2svg code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.svg +pdf2svg code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.svg pdf2svg code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.svg pdf2svg code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.svg pdf2svg code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.svg @@ -42101,14 +41684,6 @@ pdf2svg code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.svg pdf2svg code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.svg pdf2svg code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.svg -pdf2svg code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.svg -pdf2svg code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.svg -pdf2svg code_examples/opt/opt_share.pdf code_examples/opt/opt_share.svg -pdf2svg code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.svg -pdf2svg code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.svg -pdf2svg code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.svg -pdf2svg code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.svg -pdf2svg code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.svg pdf2svg code_examples/extensions/test1.pdf code_examples/extensions/test1.svg pdf2svg code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.svg pdf2svg code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.svg @@ -52305,11 +51880,11 @@ nput line 46980. + LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 621 undefined on input l ine 46981. - LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 621 undefined on input line 46982. @@ -52474,11 +52049,11 @@ on input line 47022. -LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 621 undefined -on input line 47023. +[621] +LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 622 undefined +on input line 47023. -[621] LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 622 undefined on input line 47024. @@ -52692,11 +52267,11 @@ ut line 47076. + LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 622 undefined on i nput line 47077. - LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 622 undefined on input line 47078. @@ -52905,9 +52480,6 @@ ' on page 622 undefined on input line 47129. -Underfull \hbox (badness 10000) in paragraph at lines 47129--47130 -[]\T1/lmtt/m/n/10 read_verilog_file_list - LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 622 undefi ned on input line 47130. @@ -53219,23 +52791,14 @@ page 623 undefined on input line 47206. -Underfull \hbox (badness 10000) in paragraph at lines 47206--47207 -[]\T1/lmtt/m/n/10 write_functional_cxx - LaTeX Warning: Reference `cmd/write_functional_rosette:cmd-write_functional_ros ette' on page 623 undefined on input line 47207. -Underfull \hbox (badness 10000) in paragraph at lines 47207--47208 -[]\T1/lmtt/m/n/10 write_functional_rosette - LaTeX Warning: Reference `cmd/write_functional_smt2:cmd-write_functional_smt2' on page 623 undefined on input line 47208. -Underfull \hbox (badness 10000) in paragraph at lines 47208--47209 -[]\T1/lmtt/m/n/10 write_functional_smt2 - LaTeX Warning: Reference `cmd/write_intersynth:cmd-write_intersynth' on page 62 3 undefined on input line 47209. @@ -53475,11 +53038,11 @@ nput line 47272. - LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 625 undefined on input l ine 47273. + LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 625 undefined on input line 47274. @@ -53644,12 +53207,12 @@ on input line 47314. -[625] - -LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 626 undefined +LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 625 undefined on input line 47315. +[625] + LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 626 undefined on input line 47316. @@ -53862,11 +53425,11 @@ ut line 47368. - LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 626 undefined on i nput line 47369. + LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 626 undefined on input line 47370. @@ -54075,6 +53638,9 @@ ' on page 626 undefined on input line 47421. +Underfull \hbox (badness 10000) in paragraph at lines 47421--47422 +[]\T1/lmtt/m/n/10 read_verilog_file_list + LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 626 undefi ned on input line 47422. @@ -54386,14 +53952,23 @@ page 627 undefined on input line 47498. +Underfull \hbox (badness 10000) in paragraph at lines 47498--47499 +[]\T1/lmtt/m/n/10 write_functional_cxx + LaTeX Warning: Reference `cmd/write_functional_rosette:cmd-write_functional_ros ette' on page 627 undefined on input line 47499. +Underfull \hbox (badness 10000) in paragraph at lines 47499--47500 +[]\T1/lmtt/m/n/10 write_functional_rosette + LaTeX Warning: Reference `cmd/write_functional_smt2:cmd-write_functional_smt2' on page 627 undefined on input line 47500. +Underfull \hbox (badness 10000) in paragraph at lines 47500--47501 +[]\T1/lmtt/m/n/10 write_functional_smt2 + LaTeX Warning: Reference `cmd/write_intersynth:cmd-write_intersynth' on page 62 7 undefined on input line 47501. @@ -54499,7 +54074,7 @@ .pfb> -Output written on yosyshqyosys.pdf (631 pages, 2891932 bytes). +Output written on yosyshqyosys.pdf (631 pages, 2891920 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' @@ -56768,27 +56343,27 @@ [621] -Underfull \hbox (badness 10000) in paragraph at lines 47129--47130 -[]\T1/lmtt/m/n/10 read_verilog_file_list - [622] -Underfull \hbox (badness 10000) in paragraph at lines 47206--47207 -[]\T1/lmtt/m/n/10 write_functional_cxx - -Underfull \hbox (badness 10000) in paragraph at lines 47207--47208 -[]\T1/lmtt/m/n/10 write_functional_rosette - -Underfull \hbox (badness 10000) in paragraph at lines 47208--47209 -[]\T1/lmtt/m/n/10 write_functional_smt2 - [623] [624] [625] +Underfull \hbox (badness 10000) in paragraph at lines 47421--47422 +[]\T1/lmtt/m/n/10 read_verilog_file_list + [626] +Underfull \hbox (badness 10000) in paragraph at lines 47498--47499 +[]\T1/lmtt/m/n/10 write_functional_cxx + +Underfull \hbox (badness 10000) in paragraph at lines 47499--47500 +[]\T1/lmtt/m/n/10 write_functional_rosette + +Underfull \hbox (badness 10000) in paragraph at lines 47500--47501 +[]\T1/lmtt/m/n/10 write_functional_smt2 + [627] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) (see the transcript file for additional information) -Output written on yosyshqyosys.pdf (639 pages, 3059938 bytes). +Output written on yosyshqyosys.pdf (639 pages, 3059892 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' @@ -59052,27 +58627,27 @@ [621] -Underfull \hbox (badness 10000) in paragraph at lines 47129--47130 -[]\T1/lmtt/m/n/10 read_verilog_file_list - [622] -Underfull \hbox (badness 10000) in paragraph at lines 47206--47207 -[]\T1/lmtt/m/n/10 write_functional_cxx - -Underfull \hbox (badness 10000) in paragraph at lines 47207--47208 -[]\T1/lmtt/m/n/10 write_functional_rosette - -Underfull \hbox (badness 10000) in paragraph at lines 47208--47209 -[]\T1/lmtt/m/n/10 write_functional_smt2 - [623] [624] [625] +Underfull \hbox (badness 10000) in paragraph at lines 47421--47422 +[]\T1/lmtt/m/n/10 read_verilog_file_list + [626] +Underfull \hbox (badness 10000) in paragraph at lines 47498--47499 +[]\T1/lmtt/m/n/10 write_functional_cxx + +Underfull \hbox (badness 10000) in paragraph at lines 47499--47500 +[]\T1/lmtt/m/n/10 write_functional_rosette + +Underfull \hbox (badness 10000) in paragraph at lines 47500--47501 +[]\T1/lmtt/m/n/10 write_functional_smt2 + [627] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) (see the transcript file for additional information) -Output written on yosyshqyosys.pdf (639 pages, 3059938 bytes). +Output written on yosyshqyosys.pdf (639 pages, 3059892 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' @@ -59112,47 +58687,24 @@ debian/rules override_dh_auto_test-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.52' dh_auto_test -- PATH="$PWD:$PATH" - make -j12 test PATH=/build/reproducible-path/yosys-0.52:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games + make -j12 test PATH=/build/reproducible-path/yosys-0.52:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path make[2]: Entering directory '/build/reproducible-path/yosys-0.52' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: make -C tests/arch/anlogic -f run-test.mk -make -C tests/arch/ecp5 -f run-test.mk -make -C tests/arch/efinix -f run-test.mk -make -C tests/arch/gatemate -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/anlogic' -make -C tests/arch/gowin -f run-test.mk +make -C tests/arch/ecp5 -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/ecp5' -make -C tests/arch/ice40 -f run-test.mk +make -C tests/arch/efinix -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/efinix' -make -C tests/arch/intel_alm -f run-test.mk +make -C tests/arch/gatemate -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/gatemate' -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/ice40' -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/gowin' -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/arch/intel_alm' +Passed efinix-counter.ys Passed efinix-add_sub.ys -Passed anlogic-counter.ys -Passed intel_alm-add_sub.ys -Passed anlogic-add_sub.ys Passed efinix-adffs.ys -Passed gowin-add_sub.ys -Passed efinix-counter.ys +Passed anlogic-add_sub.ys +Passed anlogic-counter.ys Passed efinix-dffs.ys -Passed anlogic-dffs.ys -Passed ecp5-add_sub.ys -Passed anlogic-fsm.ys -Passed anlogic-logic.ys -Passed ice40-add_sub.ys -Passed anlogic-shifter.ys -Passed efinix-fsm.ys -Passed anlogic-lutram.ys -Passed ecp5-bug1459.ys -Passed intel_alm-adffs.ys -Passed anlogic-latches.ys -Passed anlogic-tribuf.ys -Passed intel_alm-blockram.ys -Passed gatemate-add_sub.ys -Passed intel_alm-counter.ys Passed ecp5-bug1630.ys Warning: Literal has a width of 16 bit, but value requires 184 bit. (< ok -Checking toggle.aag. -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Checking true.aag. -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Checking and_.aig. -Checking and_to_bad_out.aig. -Checking buffer.aig. -Passed techmap-cmp2lcu.ys -Warning: wire '\Q' is assigned in a block at < ok -Passed qlf_k6n10f-div.ys -Checking empty.aig. -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Warning: The current network has no primary outputs. Some commands may not work correctly. -Passed techmap-dfflegalize_adff.ys -Checking false.aig. -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff1 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff2 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff3 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff1 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff2 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe1_.ff0 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff1_.ff0 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff1 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff2 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff3 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff1 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff2 -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff0 -Running macc_b_port_compat.ys.. -Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff0 -Warning: The new network has no primary inputs. It is recommended -to add a dummy PI to make sure all commands work correctly. -Checking halfadder.aig. -Warning: Complex async reset for dff `\Q'. -Running macc_infer_n_unmap.ys.. -Checking inverter.aig. -...passed tests in tests/alumacc -< Y[0] wire \ripple [0] source: < DATA[1] @@ -60017,8 +59451,6 @@ cell $memrd$\mem$< DATA[0] wire \y1 [0] source: < RD_DATA[1] @@ -60096,10 +59528,6 @@ ERROR: Found 8 problems in 'check -assert'. Expected error pattern 'Found [0-9]+ problems in 'check -assert'' found !!! Passed various-check_3.ys -Passed verilog-global_parameter.ys -Checking symbols.aig. -< Y[0] @@ -60108,62 +59536,19 @@ wire \data [0] source: < ok Passed various-check_4.ys Warning: wire '\a_q' is assigned in a block at < ok -cd tests/simple_abc9 && bash run-test.sh "" -< ok +Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff1 +Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff2 +Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff3 +Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff1 +Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff2 +Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adffe0_.ff0 +Warning: Emulating mismatched async reset and init with several FFs and a mux for top.adff0_.ff0 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff3 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff2 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff1 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe1.ff0 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff2 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff1 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adff1.ff0 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff3 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff2 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff1 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adffe0.ff0 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff2 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff1 +Warning: Emulating mismatched async reset and init with several FFs and a mux for adff0.ff0 +Passed techmap-dfflegalize_adff_init.ys +Passed techmap-dfflegalize_adlatch.ys +Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff1 +Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff2 +Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch1_.ff0 +Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff1 +Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff2 +Warning: Emulating mismatched async reset and init with several latches and a mux for top.adlatch0_.ff0 +Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff2 +Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff1 +Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch1.ff0 +Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff2 +Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff1 +Warning: Emulating mismatched async reset and init with several latches and a mux for adlatch0.ff0 +Passed techmap-dfflegalize_adlatch_init.ys +Passed techmap-dfflegalize_aldff.ys +Passed techmap-dfflegalize_aldff_init.ys +Test: firrtl_938 -> ok +Passed qlf_k6n10f-fsm.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Passed verilog-sign_array_query.ys -Passed verilog-size_cast.ys -Passed verilog-struct_access.ys -< ok +Passed nexus-lutram.ys +Passed techmap-dfflegalize_dff_init.ys Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff1 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff2 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff3 @@ -60281,10 +59657,6 @@ Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff3 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre_.ff0 Warning: Emulating async set + reset with several FFs and a mux for top.dffsr_.ff0 -Test: arrays03 -> ok -Passed verilog-unbased_unsized_tern.ys -ERROR: Found `else outside of macro conditional branch! -Expected error pattern 'Found `else outside of macro conditional branch!' found !!! Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff4 Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff3 Warning: Emulating async set + reset with several FFs and a mux for dffsre.ff2 @@ -60294,7 +59666,6 @@ Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff2 Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff1 Warning: Emulating async set + reset with several FFs and a mux for dffsr.ff0 -Passed verilog-unmatched_else.ys Passed techmap-dfflegalize_dffsr.ys Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff1 Warning: Emulating async set + reset with several FFs and a mux for top.dffsre1_.ff2 @@ -60314,55 +59685,6 @@ Warning: Emulating async set + reset with several FFs and a mux for top.dffsre0_.ff0 Warning: Emulating async set + reset with several FFs and a mux for top.dffsr1_.ff0 Warning: Emulating async set + reset with several FFs and a mux for top.dffsr0_.ff0 -ERROR: Found `elsif outside of macro conditional branch! -Expected error pattern 'Found `elsif outside of macro conditional branch!' found !!! -Passed verilog-unmatched_elsif.ys -ERROR: Found `endif outside of macro conditional branch! -Expected error pattern 'Found `endif outside of macro conditional branch!' found !!! -Passed verilog-unmatched_endif.ys -ERROR: Found `endif outside of macro conditional branch! -Expected error pattern 'Found `endif outside of macro conditional branch!' found !!! -Passed verilog-unmatched_endif_2.ys -< ok -Passed verilog-unreachable_case_sign.ys -Passed techmap-dfflegalize_dff_init.ys -Passed qlf_k6n10f-latches.ys -Passed verilog-upto.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Warning: wire '\b' is assigned in a block at < ok +Passed techmap-dfflegalize_inv.ys +Passed nanoxplore-meminit.ys Passed techmap-dfflegalize_mince.ys Passed techmap-dfflegalize_minsrst.ys Passed techmap-dfflegalize_sr.ys @@ -60453,9 +59793,6 @@ Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff1 [$_SR_PN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff2 [$_SR_NP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize top.sr0_.ff0 [$_SR_PP_]. -Passed techmap-dfflegalize_inv.ys -Warning: Complex async reset for dff `\Q'. -Passed techmap-dfflibmap.ys Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff2 [$_SR_NP_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff1 [$_SR_PN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize sr1.ff0 [$_SR_PP_]. @@ -60463,29 +59800,421 @@ Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff1 [$_SR_PN_]. Warning: Flipping D/Q/init and inserting priority fixup to legalize sr0.ff0 [$_SR_PP_]. Passed techmap-dfflegalize_sr_init.ys +Warning: Complex async reset for dff `\Q'. +Passed techmap-dfflibmap.ys Passed techmap-dffunmap.ys +Passed techmap-extractinv.ys Warning: Yosys has only limited support for tri-state logic at the moment. (< ok +Passed techmap-shiftx2mux.ys Passed techmap-techmap_chtype.ys Passed techmap-techmap_replace.ys Passed techmap-wireinit.ys -Test: issue00710 -> ok +Passed nexus-mul.ys Passed techmap-zinit.ys -Passed techmap-shiftx2mux.ys +Passed xilinx-abc9_dff.ys Passed techmap-han-carlson.tcl +Passed techmap-kogge-stone.tcl +Passed nanoxplore-shifter.ys +Test: no_implicit_en -> ok +Passed techmap-sklansky.tcl +Passed techmap-mem_simple_4x1_runtest.sh +Passed techmap-recursive_runtest.sh +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/techmap' +...passed tests in tests/techmap +cd tests/aiger && bash run-test.sh "" "" +Checking and_.aag. +Checking and_to_bad_out.aag. +Checking buffer.aag. +Passed nanoxplore-lutram.ys +Checking cnt1.aag. +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Checking cnt1e.aag. +Checking empty.aag. +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Warning: The current network has no primary outputs. Some commands may not work correctly. +Test: read_arst -> ok +Checking false.aag. +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Checking halfadder.aag. +Checking inverter.aag. +Checking notcnt1.aag. +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Checking notcnt1e.aag. +Checking or_.aag. +Checking symbols.aag. +Passed ice40-memories.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/ice40' +...passed tests in tests/arch/ice40 +cd tests/alumacc && bash run-test.sh "" "" +Running basic.ys.. +Passed nexus-shifter.ys +Checking toggle-re.aag. +Checking toggle.aag. +Passed nanoxplore-mux.ys +cd tests/simple && bash run-test.sh "" +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/simple' +Running macc_b_port_compat.ys.. +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Running macc_infer_n_unmap.ys.. +Checking true.aag. +...passed tests in tests/alumacc +cd tests/simple_abc9 && bash run-test.sh "" +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/simple_abc9' +Checking and_.aig. +Checking and_to_bad_out.aig. +Checking buffer.aig. +Checking cnt1.aig. +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Checking cnt1e.aig. +Test: read_two_mux -> ok +Passed nanoxplore-tribuf.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/nanoxplore' +...passed tests in tests/arch/nanoxplore cd tests/hana && bash run-test.sh "" make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/hana' -Passed techmap-kogge-stone.tcl -Test: asgn_binop -> ok +Checking empty.aig. +Test: arrays02 -> ok +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Warning: The current network has no primary outputs. Some commands may not work correctly. +Checking false.aig. +Warning: The new network has no primary inputs. It is recommended +to add a dummy PI to make sure all commands work correctly. +Checking halfadder.aig. +Checking inverter.aig. +Passed verilog-func_upto.ys +< ok +< ok +Passed verilog-parameters_across_files.ys +Passed verilog-past_signedness.ys +Passed verilog-port_int_types.ys +Passed verilog-prefix.ys +Warning: Module top contains RTLIL processes with sync rules. Such RTLIL processes can't always be mapped directly to Verilog always blocks. unintended changes in simulation behavior are possible! Use "proc" to convert processes to logic networks and registers. +Test: simple_sram_byte_en -> ok +Passed verilog-roundtrip_proc.ys +Passed verilog-sign_array_query.ys +Test: code_hdl_models_GrayCounter -> ok +Passed verilog-size_cast.ys +Passed verilog-struct_access.ys +< ok +Test: trans_addr_enable -> ok Test: abc9 -> ok -/build/reproducible-path/yosys-0.52/share/quicklogic/qlf_k6n10f/brams_sim.v:2663: Warning: Range [35:0] select out of bounds on signal `\PORT_A1_RDATA': Setting 18 MSB bits to undef. +Test: code_hdl_models_clk_div -> ok +Test: aes_kexp128 -> ok +Test: asgn_binop -> ok +Test: always01 -> ok +Test: trans_sdp -> ok +Test: case_expr_extend -> ok +Test: test_intermout -> ok +Test: code_hdl_models_clk_div_45 -> ok +Test: always02 -> ok +Test: case_expr_query -> ok +Test: code_hdl_models_d_ff_gates -> ok +Test: always03 -> ok +Test: test_parse2synthtrans -> ok +Passed nexus-mux.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/nexus' +...passed tests in tests/arch/nexus +cd tests/share && bash run-test.sh "" +generating tests.. +Test: trans_sp -> ok +running tests.. +[0][1][2][3][4][5][6]Test: defvalue -> ok +[7][8]Test: code_hdl_models_d_latch_gates -> ok +[9][10][11][12][13]Test: arraycells -> ok +[14][15][16][17]Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DIADI from 64 bits to 16 bits. +Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOADO from 64 bits to 16 bits. +Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. +Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. +Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. +Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEA from 4 bits to 2 bits. +[18][19][20][21][22]Test: code_hdl_models_decoder_2to4_gates -> ok +[23]Test: test_parser -> ok +[24]Test: implicit_ports -> ok +[25][26][27]Test: arrays01 -> ok +[28][29][30][31][32][33]Test: wide_all -> ok +[34][35]Test: code_hdl_models_decoder_using_assign -> ok +[36][37][38]Test: lesser_size_cast -> ok +[39][40][41][42][43][44]Test: attrib01_module -> ok +[45][46][47][48][49][50][51]Test: local_loop_var -> ok +[52][53][54]Test: code_hdl_models_decoder_using_case -> ok +[55][56][57][58]Test: attrib02_port_decl -> ok +[59][60][61][62][63][64][65]Test: wide_read_async -> ok +[66]Test: test_simulation_always -> ok +[67]Test: code_hdl_models_dff_async_reset -> ok +[68][69]Test: macro_arg_spaces -> ok +[70][71][72][73]Test: attrib03_parameter -> ok +[74][75][76][77][78][79][80][81]Test: matching_end_labels -> ok +[82]Test: code_hdl_models_dff_sync_reset -> ok +[83]Test: code_hdl_models_cam -> ok +[84][85][86]Test: attrib04_net_var -> ok +[87]Passed qlf_k6n10f-ioff.ys +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +Test: memwr_port_connection -> ok +[88]Test: test_simulation_and -> ok +[89]Test: code_hdl_models_encoder_4to2_gates -> ok +Test: wide_read_mixed -> ok +[90][91]Warning: Complex async reset for dff `\Q'. +Test: code_hdl_models_encoder_using_case -> ok +Test: unnamed_block_decl -> ok +[92][93][94]Test: attrib06_operator_suffix -> ok +[95][96][97][98][99]Test: test_simulation_buffer -> ok + +...passed tests in tests/share +cd tests/opt_share && bash run-test.sh "" +generating tests.. +Test: code_hdl_models_encoder_using_if -> ok +Test: attrib08_mod_inst -> ok +Test: code_hdl_models_full_adder_gates -> ok +Test: aes_kexp128 -> ok +Test: attrib09_case -> ok +running tests.. +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/opt_share' +Test: code_hdl_models_full_subtracter_gates -> ok +[0]Test: wide_read_sync -> ok +Test: code_hdl_models_gray_counter -> ok +Test: always01 -> ok +[1][2][3]Test: carryadd -> ok +[4][5]Test: code_hdl_models_half_adder_gates -> ok +[6]Test: test_simulation_decoder -> ok +[7][8]Test: code_hdl_models_lfsr -> ok +[9]Test: always02 -> ok +[10][11][12][13][14]Test: case_expr_const -> ok +[15][16][17][18]Test: wide_read_trans -> ok +[19]Test: code_hdl_models_mux_2to1_gates -> ok +[20]Test: code_hdl_models_lfsr_updown -> ok +[21][22][23][24][25]Passed xilinx-adffs.ys +[26]Test: case_expr_non_const -> ok +[27][28]Test: always03 -> ok +[29][30][31][32]Test: test_simulation_inc -> ok +Test: code_hdl_models_mux_using_assign -> ok +Passed qlf_k6n10f-latches.ys +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +Test: wide_thru_priority -> ok +Test: arraycells -> ok +Test: code_hdl_models_mux_using_case -> ok +Warning: Complex async reset for dff `\Q'. +Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEBWE from 1 bits to 4 bits. +Test: arrays01 -> ok +Test: code_hdl_models_mux_using_if -> ok +Test: test_simulation_mux -> ok +Test: wide_write -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/memories' +Testing expectations for amber23_sram_byte_en.v ..Passed qlf_k6n10f-logic.ys +Test: code_hdl_models_one_hot_cnt -> ok +Test: attrib01_module -> ok + ok. +Testing expectations for implicit_en.v .. ok. +Testing expectations for issue00335.v ..Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits. +Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits. +Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits. +Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits. +Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits. +Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits. +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. + ok. +Testing expectations for issue00710.v ..Test: test_simulation_nand -> ok + ok. +Testing expectations for no_implicit_en.v ..Test: code_hdl_models_parallel_crc -> ok +Test: attrib02_port_decl -> ok + ok. +Testing expectations for read_arst.v .. ok. +Testing expectations for read_two_mux.v ..Test: code_hdl_models_parity_using_assign -> ok +Test: test_simulation_nor -> ok + ok. +Testing expectations for shared_ports.v ..Test: attrib03_parameter -> ok + ok. +Testing expectations for simple_sram_byte_en.v .. ok. +Testing expectations for trans_addr_enable.v .. ok. +Testing expectations for trans_sdp.v ..Test: code_hdl_models_parity_using_bitwise -> ok + ok. +Testing expectations for trans_sp.v ..Test: attrib04_net_var -> ok +Test: test_simulation_or -> ok + ok. +Testing expectations for wide_all.v ..Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. +Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits. + ok. +Testing expectations for wide_read_async.v ..Test: code_hdl_models_parity_using_function -> ok +Warning: Selection "asym_ram_sdp_read_wider" did not match any module. + ok. +Testing expectations for wide_read_mixed.v ..Test: test_simulation_seq -> ok +Test: attrib06_operator_suffix -> ok + ok. +Testing expectations for wide_read_sync.v .. ok. +Testing expectations for wide_read_trans.v ..Test: code_hdl_models_pri_encoder_using_assign -> ok + ok. +Testing expectations for wide_thru_priority.v ../build/reproducible-path/yosys-0.52/share/quicklogic/qlf_k6n10f/brams_sim.v:2663: Warning: Range [35:0] select out of bounds on signal `\PORT_A1_RDATA': Setting 18 MSB bits to undef. /build/reproducible-path/yosys-0.52/share/quicklogic/qlf_k6n10f/brams_sim.v:2709: Warning: Range [35:0] select out of bounds on signal `\PORT_B1_RDATA': Setting 18 MSB bits to undef. /build/reproducible-path/yosys-0.52/share/quicklogic/qlf_k6n10f/brams_sim.v:2578: Warning: Range [4:1] select out of bounds on signal `\PORT_A1_WR_BE': Setting 3 MSB bits to undef. /build/reproducible-path/yosys-0.52/share/quicklogic/qlf_k6n10f/brams_sim.v:2578: Warning: Ignoring assignment to constant bits: @@ -60519,300 +60248,27 @@ /build/reproducible-path/yosys-0.52/share/quicklogic/qlf_k6n10f/brams_sim.v:2682: Warning: Ignoring assignment to constant bits: old assignment: { 18'x \PORT_B1_WDATA } = \PORT_B1_WR_DATA_i new assignment: \PORT_B1_WDATA = \PORT_B1_WR_DATA_i [17:0]. -Passed techmap-sklansky.tcl -Test: no_implicit_en -> ok -Test: case_expr_extend -> ok -Test: aes_kexp128 -> ok -Passed techmap-mem_simple_4x1_runtest.sh -Passed techmap-recursive_runtest.sh -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/techmap' -...passed tests in tests/techmap -cd tests/asicworld && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/asicworld' -Test: case_expr_query -> ok -Test: always01 -> ok -Test: code_hdl_models_GrayCounter -> ok -Test: always02 -> ok -Test: defvalue -> ok -Test: read_arst -> ok -Test: always03 -> ok -Test: code_hdl_models_arbiter -> ok -Test: implicit_ports -> ok -Test: arraycells -> ok -Test: lesser_size_cast -> ok -Test: arrays01 -> ok -Test: local_loop_var -> ok -Test: read_two_mux -> ok -Passed qlf_k6n10f-mux.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Test: attrib01_module -> ok -Warning: Complex async reset for dff `\Q'. -Test: attrib02_port_decl -> ok -Test: macro_arg_spaces -> ok -Test: shared_ports -> ok -Test: test_intermout -> ok -Test: matching_end_labels -> ok -Warning: Complex async reset for dff `\Q'. -Test: attrib03_parameter -> ok -Test: memwr_port_connection -> ok -Test: test_parse2synthtrans -> ok -Test: simple_sram_byte_en -> ok -Test: attrib04_net_var -> ok -Test: unnamed_block_decl -> ok -Test: attrib06_operator_suffix -> ok -Test: aes_kexp128 -> ok -Test: test_parser -> ok -Test: trans_addr_enable -> ok -Passed verilog-func_upto.ys -Test: attrib08_mod_inst -> ok -Warning: Drivers conflicting with a constant 1'0 driver: - module input PORT_A1_WR_BE_i[1] - module input PORT_A1_WR_DATA_i[17] - module input PORT_B1_WR_BE_i[1] - module input PORT_B1_WR_DATA_i[17] -Test: always01 -> ok -Test: attrib09_case -> ok -Test: always02 -> ok -Test: trans_sdp -> ok -Test: carryadd -> ok -Test: test_simulation_always -> ok -Test: always03 -> ok -Test: case_expr_const -> ok -Test: trans_sp -> ok -Warning: Wire TB.\rq_b [35] is used but has no driver. -Warning: Wire TB.\rq_b [34] is used but has no driver. -Warning: Wire TB.\rq_b [33] is used but has no driver. -Warning: Wire TB.\rq_b [32] is used but has no driver. -Warning: Wire TB.\rq_b [31] is used but has no driver. -Warning: Wire TB.\rq_b [30] is used but has no driver. -Warning: Wire TB.\rq_b [29] is used but has no driver. -Warning: Wire TB.\rq_b [28] is used but has no driver. -Warning: Wire TB.\rq_b [27] is used but has no driver. -Warning: Wire TB.\rq_b [26] is used but has no driver. -Warning: Wire TB.\rq_b [25] is used but has no driver. -Warning: Wire TB.\rq_b [24] is used but has no driver. -Warning: Wire TB.\rq_b [23] is used but has no driver. -Warning: Wire TB.\rq_b [22] is used but has no driver. -Warning: Wire TB.\rq_b [21] is used but has no driver. -Warning: Wire TB.\rq_b [20] is used but has no driver. -Warning: Wire TB.\rq_b [19] is used but has no driver. -Warning: Wire TB.\rq_b [18] is used but has no driver. -Warning: Wire TB.\rq_b [17] is used but has no driver. -Warning: Wire TB.\rq_b [16] is used but has no driver. -Warning: Wire TB.\rq_b [15] is used but has no driver. -Warning: Wire TB.\rq_b [14] is used but has no driver. -Warning: Wire TB.\rq_b [13] is used but has no driver. -Warning: Wire TB.\rq_b [12] is used but has no driver. -Warning: Wire TB.\rq_b [11] is used but has no driver. -Warning: Wire TB.\rq_b [10] is used but has no driver. -Warning: Wire TB.\rq_b [9] is used but has no driver. -Warning: Wire TB.\rq_b [8] is used but has no driver. -Warning: Wire TB.\rq_b [7] is used but has no driver. -Warning: Wire TB.\rq_b [6] is used but has no driver. -Warning: Wire TB.\rq_b [5] is used but has no driver. -Warning: Wire TB.\rq_b [4] is used but has no driver. -Warning: Wire TB.\rq_b [3] is used but has no driver. -Warning: Wire TB.\rq_b [2] is used but has no driver. -Warning: Wire TB.\rq_b [1] is used but has no driver. -Warning: Wire TB.\rq_b [0] is used but has no driver. -Test: case_expr_non_const -> ok -Test: test_simulation_and -> ok -Test: code_hdl_models_cam -> ok -Test: wide_all -> ok -Test: arraycells -> ok -Test: test_simulation_buffer -> ok -Test: code_hdl_models_clk_div -> ok -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [35] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [34] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [33] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [32] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [31] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [30] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [29] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [28] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [27] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [26] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [25] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [24] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [23] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [22] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [21] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [20] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [19] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [18] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [17] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [16] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [15] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [14] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [13] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [12] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [11] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [10] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [9] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [8] is used but has no driver. -Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [7] is used but has no driver. -Passed qlf_k6n10f-ioff.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Test: wide_read_async -> ok -Test: arrays01 -> ok -Warning: Complex async reset for dff `\Q'. -Test: code_hdl_models_clk_div_45 -> ok -Test: attrib01_module -> ok -Test: wide_read_mixed -> ok -Passed qlf_k6n10f-meminit.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Test: test_simulation_decoder -> ok -Test: code_hdl_models_d_ff_gates -> ok -Test: wide_read_sync -> ok -Warning: Complex async reset for dff `\Q'. -Test: attrib02_port_decl -> ok -Test: code_hdl_models_d_latch_gates -> ok -Test: test_simulation_inc -> ok -Test: code_hdl_models_decoder_2to4_gates -> ok -Test: attrib03_parameter -> ok -Test: wide_read_trans -> ok -Test: test_simulation_nand -> ok -Test: code_hdl_models_decoder_using_assign -> ok -Test: test_simulation_mux -> ok -Test: attrib04_net_var -> ok -Test: wide_thru_priority -> ok -Test: code_hdl_models_decoder_using_case -> ok -Test: test_simulation_nor -> ok -Passed xilinx-abc9_dff.ys -Test: attrib06_operator_suffix -> ok -Test: test_simulation_or -> ok -Test: code_hdl_models_dff_async_reset -> ok -Test: test_simulation_seq -> ok -Test: attrib08_mod_inst -> ok -Warning: Resizing cell port TB.uut.data_out from 8 bits to 32 bits. -Warning: Resizing cell port TB.uut.address_in_r from 10 bits to 8 bits. -Test: wide_write -> ok -Test: code_hdl_models_dff_sync_reset -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/memories' -Testing expectations for amber23_sram_byte_en.v ..Warning: Wire TB.\rq_b [7] is used but has no driver. -Warning: Wire TB.\rq_b [6] is used but has no driver. -Warning: Wire TB.\rq_b [5] is used but has no driver. -Warning: Wire TB.\rq_b [4] is used but has no driver. -Warning: Wire TB.\rq_b [3] is used but has no driver. -Warning: Wire TB.\rq_b [2] is used but has no driver. -Warning: Wire TB.\rq_b [1] is used but has no driver. -Warning: Wire TB.\rq_b [0] is used but has no driver. -Test: attrib09_case -> ok - ok. -Testing expectations for implicit_en.v .. ok. -Testing expectations for issue00335.v ..Test: test_simulation_sop -> ok -Test: code_hdl_models_encoder_4to2_gates -> ok - ok. -Testing expectations for issue00710.v .. ok. -Testing expectations for no_implicit_en.v ..Test: carryadd -> ok - ok. -Testing expectations for read_arst.v .. ok. -Testing expectations for read_two_mux.v ..Test: code_hdl_models_encoder_using_case -> ok -Test: case_expr_const -> ok - ok. -Testing expectations for shared_ports.v .. ok. -Testing expectations for simple_sram_byte_en.v .. ok. -Testing expectations for trans_addr_enable.v ..Test: test_simulation_shifter -> ok -Test: case_expr_non_const -> ok - ok. -Testing expectations for trans_sdp.v ..Test: test_simulation_techmap -> ok -Test: code_hdl_models_encoder_using_if -> ok - ok. -Testing expectations for trans_sp.v ..Test: test_simulation_vlib -> ok ok. -Testing expectations for wide_all.v ..Test: code_hdl_models_full_adder_gates -> ok - ok. -Testing expectations for wide_read_async.v ..Warning: Shift register inference not yet supported for family xc3s. - ok. -Testing expectations for wide_read_mixed.v ..Test: code_hdl_models_full_subtracter_gates -> ok - ok. -Testing expectations for wide_read_sync.v ..Test: code_hdl_models_gray_counter -> ok - ok. -Testing expectations for wide_read_trans.v .. ok. -Testing expectations for wide_thru_priority.v ..Test: code_hdl_models_half_adder_gates -> ok - ok. -Testing expectations for wide_write.v ..Test: code_hdl_models_lfsr -> ok +Testing expectations for wide_write.v ..Test: attrib08_mod_inst -> ok +Test: code_hdl_models_rom_using_case -> ok ok. ...passed tests in tests/memories -cd tests/share && bash run-test.sh "" -generating tests.. -running tests.. -[0][1][2][3][4][5][6][7]Test: code_hdl_models_lfsr_updown -> ok -[8][9][10][11][12]Test: code_hdl_models_mux_2to1_gates -> ok -[13][14][15][16][17][18]Test: code_hdl_models_mux_using_assign -> ok -[19][20][21]Test: code_hdl_models_mux_using_case -> ok -[22][23][24][25][26]Test: test_simulation_techmap_tech -> ok -[27]Test: code_hdl_models_mux_using_if -> ok -[28][29]Passed xilinx-add_sub.ys -[30]Test: code_hdl_models_one_hot_cnt -> ok -[31][32][33][34][35][36][37][38][39][40][41][42]Test: code_hdl_models_parity_using_assign -> ok -[43][44][45][46]Test: test_simulation_xnor -> ok -Test: code_hdl_models_parallel_crc -> ok -[47][48][49][50][51][52][53][54][55]Test: code_hdl_models_parity_using_bitwise -> ok -[56][57][58][59][60]Test: code_hdl_models_parity_using_function -> ok -[61][62][63]Test: test_simulation_xor -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/hana' -...passed tests in tests/hana -cd tests/opt_share && bash run-test.sh "" -generating tests.. -[64][65][66][67][68][69][70][71][72][73][74]Test: code_hdl_models_pri_encoder_using_assign -> ok -Test: code_hdl_models_rom_using_case -> ok -[75][76][77][78][79][80][81]running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/opt_share' -[0][82][1][83][2][84][85][86][87][88][89][90]Test: code_hdl_models_tff_async_reset -> ok -[91]Test: code_hdl_models_serial_crc -> ok -[92][93][94][95][96][97][98][99]Test: case_large -> ok - -...passed tests in tests/share cd tests/fsm && bash run-test.sh "" generating tests.. -Test: code_hdl_models_tff_sync_reset -> ok -PRNG seed: 3533132039450536521 -Test: const_branch_finish -> ok +PRNG seed: 7535901477857019330 +Test: attrib09_case -> ok +Test: code_hdl_models_serial_crc -> ok running tests.. make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/fsm' -[0]Test: code_hdl_models_up_counter -> ok -Test: const_fold_func -> ok -K[1]Test: code_hdl_models_uart -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_hdl_models_up_counter_load -> ok -Test: case_large -> ok -Test: const_func_shadow -> ok -Test: const_branch_finish -> ok -Test: code_hdl_models_up_down_counter -> ok -Test: code_specman_switch_fabric -> ok -Test: const_fold_func -> ok -Test: code_tidbits_asyn_reset -> ok -Test: code_tidbits_blocking -> ok -K[2]Test: const_func_shadow -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_tidbits_fsm_using_always -> ok -Test: code_tidbits_fsm_using_function -> ok -Test: constmuldivmod -> ok -Test: code_tidbits_nonblocking -> ok -Test: code_tidbits_fsm_using_single_always -> ok -Test: constpower -> ok -Test: code_tidbits_reg_seq_example -> ok -Test: code_tidbits_reg_combo_example -> ok -Test: code_tidbits_wire_example -> ok -Test: code_tidbits_syn_reset -> ok -K[3]Test: code_verilog_tutorial_always_example -> ok -Test: constmuldivmod -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_verilog_tutorial_addbit -> ok -[4]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +[0]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: dff_different_styles -> ok -Test: code_verilog_tutorial_bus_con -> ok -Test: constpower -> ok -Test: code_verilog_tutorial_comment -> ok +Test: code_hdl_models_tff_async_reset -> ok +Test: carryadd -> ok +Test: test_simulation_shifter -> ok +Test: case_expr_const -> ok +Test: code_hdl_models_tff_sync_reset -> ok +Test: case_expr_non_const -> ok Warning: found logic loop in module latch_002_gate: cell $or$./dynamic_part_select/latch_002_gate.v:15$13459 ($or) source: ./dynamic_part_select/latch_002_gate.v:15.22-15.48 A[0] --> Y[0] @@ -61325,84 +60781,62 @@ wire \dword [63] source: ./dynamic_part_select/latch_002_gate.v:3.22-3.27 cell $and$./dynamic_part_select/latch_002_gate.v:15$13458 ($and) source: ./dynamic_part_select/latch_002_gate.v:15.23-15.40 A[63] --> Y[63] -Test: dff_init -> ok -Test: dff_different_styles -> ok -Test: code_verilog_tutorial_counter -> ok -K[5]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: code_verilog_tutorial_d_ff -> ok -Test: dff_init -> ok -Passed verilog-dynamic_range_lhs.sh -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/verilog' -...passed tests in tests/verilog -cd tests/memlib && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/memlib' -K[6]K[7]Test: code_verilog_tutorial_decoder -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: dynslice -> ok -Test: code_verilog_tutorial_decoder_always -> ok -Test: code_verilog_tutorial_escape_id -> ok +Warning: Complex async reset for dff `\Q'. +Test: test_simulation_sop -> ok +Test: code_hdl_models_uart -> ok +Warning: Drivers conflicting with a constant 1'0 driver: + module input PORT_A1_WR_BE_i[1] + module input PORT_A1_WR_DATA_i[17] + module input PORT_B1_WR_BE_i[1] + module input PORT_B1_WR_DATA_i[17] +Test: code_hdl_models_up_counter -> ok +K[1]Test: test_simulation_techmap -> ok Passed various-dynamic_part_select.ys elab_sys_tasks.sv:8: Warning: X is 1. elab_sys_tasks.sv:22: Warning: Passed various-elab_sys_tasks.ys Passed various-equiv_make_make_assert.ys +Test: code_hdl_models_up_counter_load -> ok Warning: Yosys has only limited support for tri-state logic at the moment. (/build/reproducible-path/yosys-0.52/share/simcells.v:476) Passed various-equiv_opt_multiclock.ys Passed various-equiv_opt_undef.ys ERROR: Command stdout did have a line matching given regex "giraffe". Expected error pattern 'stdout did have a line' found !!! -Test: fiedler-cooley -> ok Passed various-exec.ys Passed various-fib.ys -K[8]Test: t_async_big -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[9]Passed various-fib_tern.ys -Test: code_verilog_tutorial_explicit -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Passed various-fib_tern.ys Passed various-func_port_implied_dir.ys Passed various-gen_if_null.ys Passed various-global_scope.ys Passed various-gzip_verilog.ys Passed various-help.ys +Test: code_hdl_models_up_down_counter -> ok Passed various-hierarchy_defer.ys Passed various-hierarchy_generate.ys -KPassed various-hierarchy_param.ys -[10]Test: forgen01 -> ok -Test: code_verilog_tutorial_first_counter -> ok -K[11]Test: forgen02 -> ok -K[12]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[13]Test: code_verilog_tutorial_flip_flop -> ok -Passed various-ice40_mince_abc9.ys +K[2]Passed various-hierarchy_param.ys Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +K[3]Passed various-ice40_mince_abc9.ys < ok Passed various-json_scopeinfo.ys +[33]Test: code_specman_switch_fabric -> ok Passed various-keep_hierarchy.ys ERROR: Identifier `\b' is implicitly declared. Expected error pattern 'is implicitly declared.' found !!! Passed various-logger_error.ys -K[14]Passed various-logger_nowarning.ys -Test: forloops -> ok +[34]Passed various-logger_nowarning.ys Warning: Found log message matching -W regex: Added regex 'Successfully finished Verilog frontend.' to expected warning messages list. < ok Passed various-mem2reg.ys -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +[37]Warning: Complex async reset for dff `\Q'. Passed various-memory_word_as_index.ys Warning: Yosys has only limited support for tri-state logic at the moment. (/build/reproducible-path/yosys-0.52/share/simcells.v:476) -Test: code_verilog_tutorial_fsm_full -> ok -Test: fsm -> ok -Passed various-muxcover.ys -Test: code_verilog_tutorial_good_code -> ok +Test: const_branch_finish -> ok +Passed xilinx-asym_ram_sdp.ys Passed various-muxpack.ys Passed various-param_struct.ys -Test: code_verilog_tutorial_if_else -> ok -Test: func_block -> ok +Test: code_tidbits_asyn_reset -> ok +Test: const_branch_finish -> ok Passed various-peepopt.ys -Passed various-peepopt_formal.ys -Test: t_async_big_block -> ok -Warning: Resizing cell port TB.uut.address_in_w from 11 bits to 10 bits. -Warning: Resizing cell port TB.uut.data_in from 18 bits to 36 bits. -Test: code_verilog_tutorial_multiply -> ok -Test: func_recurse -> ok -Test: t_async_small -> ok -Test: code_verilog_tutorial_mux_21 -> ok -Test: t_async_small_block -> ok -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEA from 4 bits to 2 bits. -Test: func_width_scope -> ok -Test: code_verilog_tutorial_n_out_primitive -> ok -Test: t_sync_big -> ok -Test: genblk_collide -> ok -[3]Test: code_verilog_tutorial_parallel_if -> ok -[4][5][6]Test: t_sync_big_sdp -> ok -Test: genblk_dive -> ok -Passed qlf_k6n10f-t_mem2.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Test: code_verilog_tutorial_parity -> ok -[7][8][9][10][11][12][13]Test: genblk_order -> ok -[14]Warning: Complex async reset for dff `\Q'. -Test: code_verilog_tutorial_simple_function -> ok -K[15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: genblk_port_shadow -> ok -Test: dynslice -> ok -Test: code_verilog_tutorial_simple_if -> ok -Test: fiedler-cooley -> ok -Test: code_verilog_tutorial_task_global -> ok -Passed various-pmgen_reduce.ys +[38]Passed various-peepopt_formal.ys +Test: const_fold_func -> ok +[39][40]Passed various-muxcover.ys Passed various-pmux2shiftx.ys Warning: Resizing cell port act.ou2.out from 3 bits to 2 bits. Warning: Resizing cell port act.os2.out from 3 bits to 2 bits. @@ -61478,12 +60875,9 @@ Warning: Resizing cell port act.pt4.a from 1 bits to 4 bits. Warning: Resizing cell port act.pt3.a from 1 bits to 4 bits. Warning: Resizing cell port act.pt2.a from 1 bits to 4 bits. -Test: t_sync_big_lut -> ok Passed various-port_sign_extend.ys -Test: code_verilog_tutorial_tri_buf -> ok -Test: forgen01 -> ok Passed various-primitives.ys -K[16]Passed various-printattr.ys +Passed various-printattr.ys Passed various-rand_const.ys Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26.9-26.21. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29.3-29.18. @@ -61498,10 +60892,12 @@ Passed various-reg_wire_error.ys Passed various-rename_scramble_name.ys Passed various-rtlil_signed_attribute.ys -Test: t_sync_small -> ok +Test: const_func_shadow -> ok Passed various-rtlil_z_bits.ys -Passed various-scopeinfo.ys -Test: code_verilog_tutorial_v2k_reg -> ok +Test: code_tidbits_blocking -> ok +Test: const_fold_func -> ok +Test: test_simulation_techmap_tech -> ok +[41]Passed various-scopeinfo.ys Passed various-scratchpad.ys Passed various-script.ys { @@ -61645,18 +61041,16 @@ } } Passed various-setundef.ys -Test: forgen02 -> ok Passed various-sformatf.ys Passed various-shregmap.ys < ok Warning: Yosys has only limited support for tri-state logic at the moment. (< ok Passed various-struct_access.ys -Warning: Port directions for cell \s1 (\DFF) are unknown. Assuming inout for all ports. +[48]K[4]Warning: Port directions for cell \s1 (\DFF) are unknown. Assuming inout for all ports. Warning: Port directions for cell \s2 (\DFF) are unknown. Assuming inout for all ports. Warning: Port directions for cell \s3 (\DFF) are unknown. Assuming inout for all ports. -Test: code_verilog_tutorial_which_clock -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/asicworld' -Passed various-submod.ys -...passed tests in tests/asicworld -cd tests/bram && bash run-test.sh "" -generating tests.. -Test: t_sync_small_block_attr -> ok +[49]Passed various-submod.ys +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: code_tidbits_fsm_using_always -> ok Passed various-submod_extract.ys -Passed various-sv_defines.ys +[50]Passed various-sv_defines.ys ERROR: Duplicate macro arguments with name `x'. Expected error pattern 'Duplicate macro arguments with name `x'' found !!! Passed various-sv_defines_dup.ys ERROR: Mismatched brackets in macro argument: [ and }. Expected error pattern 'Mismatched brackets in macro argument: \[ and }.' found !!! -Test: forloops -> ok -Passed various-sv_defines_mismatch.ys +[51]Passed various-sv_defines_mismatch.ys ERROR: Cannot expand macro `foo by giving only 1 argument (argument 2 has no default). Expected error pattern 'Cannot expand macro `foo by giving only 1 argument \(argument 2 has no default\).' found !!! -Passed various-sv_defines_too_few.ys -PRNG seed: 599311 +[52]Passed various-sv_defines_too_few.ys +[53][54][55]Test: const_func_shadow -> ok +[56][57][58][59][60][61][62][63][64][65][66][67]K[5][68][69]Test: constpower -> ok +[70]Test: test_simulation_xnor -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +[71]Test: code_tidbits_fsm_using_function -> ok +[72][73][74][75][76][77][78][79][80][81][82][83][84]Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits. +[85]Test: constmuldivmod -> ok +[86]Test: test_simulation_xor -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/hana' +...passed tests in tests/hana +cd tests/memlib && bash run-test.sh "" +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/memlib' +Test: code_tidbits_fsm_using_single_always -> ok +Test: constmuldivmod -> ok +Test: constpower -> ok +Passed various-pmgen_reduce.ys Passed various-tcl_apis.ys Passed various-wrapcell.ys Passed various-wreduce.ys Passed various-wreduce2.ys -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/bram' Passed various-write_gzip.ys -Test: t_init_lut_zeros_zero -> ok Passed various-xaiger.ys -Test: fsm -> ok -Passed qlf_k6n10f-t_mem1.ys -cd tests/svinterfaces && bash run-test.sh "" -Test: t_init_lut_zeros_any -> ok -Test: svinterface1 -> Warning: Resizing cell port TB.uut.address_in_w from 10 bits to 8 bits. -Warning: Resizing cell port TB.uut.data_in from 8 bits to 32 bits. +Test: code_tidbits_nonblocking -> ok +Passed qlf_k6n10f-mux.ys +Test: dff_different_styles -> ok +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Passed various-async.sh +Warning: Complex async reset for dff `\Q'. +Test: code_tidbits_reg_combo_example -> ok +Test: dff_different_styles -> ok Passed various-chparam.sh -Test: generate -> ok +Test: dff_init -> ok +[87][88][89][90]Passed various-clk2fflogic_effects.sh +[91]Passed various-hierarchy.sh +[92][93]Passed various-logger_cmd_error.sh +[94][95][96]Passed various-logger_fail.sh +[97][98]Test: code_tidbits_reg_seq_example -> ok +[99]make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/opt_share' + +...passed tests in tests/opt_share +cd tests/bram && bash run-test.sh "" +Test: fiedler-cooley -> ok +generating tests.. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. +Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. +PRNG seed: 179731 +Passed xilinx-attributes_test.ys +Test: dff_init -> ok +Test: t_async_big -> ok +running tests.. +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/bram' +Test: code_tidbits_syn_reset -> ok +Test: forgen01 -> ok +K[6]Test: dynslice -> ok +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: code_tidbits_wire_example -> ok Passed memory_bram test 00_01. -Passed various-clk2fflogic_effects.sh -Test: t_init_lut_val_zero -> ok -Test: func_block -> ok -Passed various-hierarchy.sh -Passed various-logger_cmd_error.sh -Passed various-logger_fail.sh -Test: t_init_lut_val_any -> ok +Test: forgen02 -> ok +Test: forloops -> ok +Passed memory_bram test 00_02. +Test: code_verilog_tutorial_addbit -> ok +K[7]Passed various-plugin.sh +Test: code_verilog_tutorial_always_example -> ok +Passed memory_bram test 00_03. +Test: fsm -> ok +Passed various-sv_implicit_ports.sh +Passed various-svalways.sh +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/various' +...passed tests in tests/various +cd tests/svinterfaces && bash run-test.sh "" +Test: svinterface1 -> Test: func_block -> ok +Test: code_verilog_tutorial_bus_con -> ok +Passed verilog-dynamic_range_lhs.sh +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/verilog' +...passed tests in tests/verilog +cd tests/xprop && bash run-test.sh "" +xprop PRNG seed: 3614597462 +make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/xprop' +Test: func_recurse -> ok +Test: code_verilog_tutorial_comment -> ok svinterface1_tb.v:50: $finish called at 420000 (10ps) -K[17]Test: func_recurse -> ok +Passed memory_bram test 00_04. svinterface1_tb.v:50: $finish called at 420000 (10ps) ok -Test: svinterface_at_top -> Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: graphtest -> ok -Test: t_init_lut_val_no_undef -> ok -K[18]Passed memory_bram test 00_02. -Test: hierarchy -> ok +Test: svinterface_at_top -> Warning: Wire TB.\rq_b [35] is used but has no driver. +Warning: Wire TB.\rq_b [34] is used but has no driver. +Warning: Wire TB.\rq_b [33] is used but has no driver. +Warning: Wire TB.\rq_b [32] is used but has no driver. +Warning: Wire TB.\rq_b [31] is used but has no driver. +Warning: Wire TB.\rq_b [30] is used but has no driver. +Warning: Wire TB.\rq_b [29] is used but has no driver. +Warning: Wire TB.\rq_b [28] is used but has no driver. +Warning: Wire TB.\rq_b [27] is used but has no driver. +Warning: Wire TB.\rq_b [26] is used but has no driver. +Warning: Wire TB.\rq_b [25] is used but has no driver. +Warning: Wire TB.\rq_b [24] is used but has no driver. +Warning: Wire TB.\rq_b [23] is used but has no driver. +Warning: Wire TB.\rq_b [22] is used but has no driver. +Warning: Wire TB.\rq_b [21] is used but has no driver. +Warning: Wire TB.\rq_b [20] is used but has no driver. +Warning: Wire TB.\rq_b [19] is used but has no driver. +Warning: Wire TB.\rq_b [18] is used but has no driver. +Warning: Wire TB.\rq_b [17] is used but has no driver. +Warning: Wire TB.\rq_b [16] is used but has no driver. +Warning: Wire TB.\rq_b [15] is used but has no driver. +Warning: Wire TB.\rq_b [14] is used but has no driver. +Warning: Wire TB.\rq_b [13] is used but has no driver. +Warning: Wire TB.\rq_b [12] is used but has no driver. +Warning: Wire TB.\rq_b [11] is used but has no driver. +Warning: Wire TB.\rq_b [10] is used but has no driver. +Warning: Wire TB.\rq_b [9] is used but has no driver. +Warning: Wire TB.\rq_b [8] is used but has no driver. +Warning: Wire TB.\rq_b [7] is used but has no driver. +Warning: Wire TB.\rq_b [6] is used but has no driver. +Warning: Wire TB.\rq_b [5] is used but has no driver. +Warning: Wire TB.\rq_b [4] is used but has no driver. +Warning: Wire TB.\rq_b [3] is used but has no driver. +Warning: Wire TB.\rq_b [2] is used but has no driver. +Warning: Wire TB.\rq_b [1] is used but has no driver. +Warning: Wire TB.\rq_b [0] is used but has no driver. +Passed xilinx-bug1460.ys +Test: genblk_collide -> ok Test: func_width_scope -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_init_lut_val2_any -> ok +Test: code_verilog_tutorial_counter -> ok +xprop_not_3s_5: ok +xprop_not_3s_5: ok +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [35] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [34] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [33] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [32] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [31] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [30] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [29] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [28] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [27] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [26] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [25] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [24] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [23] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [22] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [21] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [20] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [19] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [18] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [17] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [16] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [15] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [14] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [13] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [12] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [11] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [10] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [9] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [8] is used but has no driver. +Warning: Wire TB.$auto$wreduce.cc:514:run$18098 [7] is used but has no driver. +Test: t_async_big_block -> ok +Test: genblk_dive -> ok +Test: genblk_order -> ok svinterface_at_top_tb.v:61: $finish called at 420000 (10ps) -Test: genblk_collide -> ok svinterface_at_top_tb_wrapper.v:61: $finish called at 420000 (10ps) ERROR! Test: load_and_derive ->ok Test: resolve_types ->ok ...passed tests in tests/svinterfaces -cd tests/xprop && bash run-test.sh "" -Test: t_init_lut_val2_no_undef -> ok -xprop PRNG seed: 25601187 -make[3]: Entering directory '/build/reproducible-path/yosys-0.52/tests/xprop' -Passed memory_bram test 00_03. -Test: genblk_dive -> ok -Test: t_init_lut_x_none -> ok -Test: hierdefparam -> ok -Passed memory_bram test 00_04. -Test: t_init_lut_x_zero -> ok -Test: genblk_order -> ok -xprop_not_3s_5: ok -xprop_not_3s_5: ok -Test: t_init_lut_x_any -> ok -Test: genblk_port_shadow -> ok -Passed various-plugin.sh -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEBWE from 1 bits to 4 bits. -Passed memory_bram test 01_00. -Test: i2c_master_tests -> ok -Test: t_init_lut_x_no_undef -> ok -Passed various-sv_implicit_ports.sh -xprop_pos_3s_5: ok -xprop_pos_3s_5: ok -Passed various-svalways.sh -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/various' -...passed tests in tests/various cd tests/select && bash run-test.sh "" Running blackboxes.ys.. +Test: t_async_small -> ok Running list_mod.ys.. +Test: code_verilog_tutorial_d_ff -> ok Running mod-attribute.ys.. Running no_warn_assert.ys.. Running no_warn_prefixed_arg_memb.ys.. @@ -61791,6 +61287,7 @@ ERROR: Selection '\foo' does not exist! Expected error pattern 'Selection '\\foo' does not exist!' found !!! Running unset2.ys.. +Test: genblk_port_shadow -> ok ERROR: Selection @foo is not defined! Expected error pattern 'Selection @foo is not defined!' found !!! Running warn_empty_select_arg.ys.. @@ -61799,13 +61296,13 @@ ...passed tests in tests/select cd tests/proc && bash run-test.sh "" Running bug2619.ys.. -Test: t_ram_18b2B -> ok -Test: ifdef_1 -> ok Running bug2656.ys.. Warning: wire '\q1' is assigned in a block at < ok ERROR: Syntax error in line 1! Expected error pattern 'Syntax error in line 1!' found !!! Running bug3385.ys.. ERROR: Syntax error in line 4: names' input plane must have fewer than 13 signals. Expected error pattern 'Syntax error in line 4: names' input plane must have fewer than 13 signals.' found !!! +Test: t_async_small_block -> ok ...passed tests in tests/blif cd tests/arch && bash run-test.sh "" Running syntax check on arch sim models -Test ../../techlibs/achronix/speedster22i/cells_sim.v ->Test: t_ram_9b1B -> ok - ok +Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok Test ../../techlibs/anlogic/cells_sim.v -> ok +Test: code_verilog_tutorial_decoder -> ok Test ../../techlibs/coolrunner2/cells_sim.v -> ok Test ../../techlibs/ecp5/cells_sim.v -> ok +Test: graphtest -> ok Test ../../techlibs/efinix/cells_sim.v -> ok -xprop_neg_3s_5: ok -xprop_neg_3s_5: ok +Test: t_sync_big -> ok Test ../../techlibs/gatemate/cells_sim.v -> ok -Test: localparam_attr -> ok Test ../../techlibs/gowin/cells_sim.v -> ok -Test: t_ram_4b1B -> ok Test ../../techlibs/greenpak4/cells_sim.v -> ok Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. @@ -61910,7 +61405,9 @@ ../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. +Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->xprop_neg_3s_5: ok +xprop_neg_3s_5: ok +../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. @@ -61923,43 +61420,44 @@ ok Test ../../techlibs/intel/max10/cells_sim.v -> ok Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok -Test ../../techlibs/intel/cycloneiv/cells_sim.v ->Passed memory_bram test 01_02. +Test ../../techlibs/intel/cycloneiv/cells_sim.v ->Test: hierarchy -> ok ok -Test: t_ram_2b1B -> ok Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok -Test ../../techlibs/intel_alm/cyclonev/cells_sim.v ->Test: loop_prefix_case -> ok +Test: code_verilog_tutorial_decoder_always -> ok +Test: t_sync_big_sdp -> ok +Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok +Test ../../techlibs/microchip/cells_sim.v ->Passed memory_bram test 01_00. ok -Test ../../techlibs/microchip/cells_sim.v -> ok Test ../../techlibs/nanoxplore/cells_sim.v -> ok -Test ../../techlibs/nexus/cells_sim.v ->xprop_and_1u1_1: ok -xprop_and_1u1_1: ok - ok +Test ../../techlibs/nexus/cells_sim.v -> ok Test ../../techlibs/quicklogic/qlf_k6n10f/cells_sim.v -> ok -Test ../../techlibs/quicklogic/pp3/cells_sim.v ->Test: t_ram_1b1B -> ok - ok +Test ../../techlibs/quicklogic/pp3/cells_sim.v -> ok Test ../../techlibs/quicklogic/common/cells_sim.v -> ok Test ../../techlibs/sf2/cells_sim.v -> ok -Test ../../techlibs/xilinx/cells_sim.v -> ok -Test ../../techlibs/common/simcells.v ->Test: loop_var_shadow -> ok +Test ../../techlibs/xilinx/cells_sim.v ->Test: code_verilog_tutorial_escape_id -> ok +xprop_and_1u1_1: ok +xprop_and_1u1_1: ok + ok +Test ../../techlibs/common/simcells.v -> ok +Test ../../techlibs/common/simlib.v ->Passed memory_bram test 01_02. ok -Test ../../techlibs/common/simlib.v -> ok ...passed tests in tests/arch cd tests/rpc && bash run-test.sh "" Running exec.ys.. -Passed memory_bram test 01_03. -Test: t_init_9b1B_zeros_zero -> ok -Test: generate -> ok +Passed xilinx-bug1462.ys ...passed tests in tests/rpc cd tests/memfile && bash run-test.sh "" Running from the parent directory with content1.dat Running from the parent directory with temp/content2.dat Running from the parent directory with memfile/temp/content2.dat -Running from the same directory with content1.dat +K[8]Running from the same directory with content1.dat Running from the same directory with temp/content2.dat -xprop_and_1s1_2: ok Running from a child directory with content1.dat -xprop_and_1s1_2: ok Running from a child directory with temp/content2.dat +Test: hierdefparam -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Running from a child directory with content2.dat Checking a failure when zero length filename is provided memory.v:15: ERROR: Can not open file `` for \$readmemb. @@ -61969,11 +61467,11 @@ Execution failed, which is OK. ...passed tests in tests/memfile cd tests/fmt && bash run-test.sh "" -+ ../../yosys -p 'read_verilog initial_display.v' + awk '/<<>>/,/<<>>/ {print $0}' ++ ../../yosys -p 'read_verilog initial_display.v' + iverilog -o iverilog-initial_display initial_display.v -Test: t_init_9b1B_zeros_any -> ok + ./iverilog-initial_display +Test: generate -> ok + diff yosys-initial_display.log iverilog-initial_display.log + test_always_display clk -DEVENT_CLK + local subtest=clk @@ -62042,9 +61540,9 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 0de35d2746, CPU: user 0.00s system 0.00s, MEM: 10.54 MB peak +End of script. Logfile hash: 0de35d2746, CPU: user 0.00s system 0.01s, MEM: 10.55 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 36% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... +Time spent: 41% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-2.v /----------------------------------------------------------------------------\ @@ -62110,9 +61608,9 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: e35e8bb689, CPU: user 0.01s system 0.00s, MEM: 10.57 MB peak +End of script. Logfile hash: e35e8bb689, CPU: user 0.00s system 0.01s, MEM: 10.57 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 35% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... +Time spent: 36% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... + diff yosys-always_display-clk-1.v yosys-always_display-clk-2.v + test_always_display clk_rst -DEVENT_CLK_RST + local subtest=clk_rst @@ -62183,9 +61681,9 @@ End of script. Logfile hash: c95608ddf0, CPU: user 0.01s system 0.00s, MEM: 10.54 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 36% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-2.v - +Time spent: 37% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... +K+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-2.v +[9] /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | @@ -62249,7 +61747,7 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: faf50513c3, CPU: user 0.01s system 0.00s, MEM: 10.56 MB peak +End of script. Logfile hash: faf50513c3, CPU: user 0.01s system 0.00s, MEM: 10.54 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) Time spent: 36% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst-1.v yosys-always_display-clk_rst-2.v @@ -62322,9 +61820,8 @@ End of script. Logfile hash: 7b2c5274a5, CPU: user 0.01s system 0.00s, MEM: 10.55 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 40% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... +Time spent: 38% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-2.v -Test: graphtest -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -62336,6 +61833,7 @@ -- Running command `read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -- 1. Executing Verilog-2005 frontend: yosys-always_display-star-1.v +Test: code_verilog_tutorial_explicit -> ok Parsing Verilog input from `yosys-always_display-star-1.v' to AST representation. Generating RTLIL representation for module `\m'. Successfully finished Verilog frontend. @@ -62389,9 +61887,9 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 8979c5de0b, CPU: user 0.01s system 0.00s, MEM: 10.56 MB peak +End of script. Logfile hash: 8979c5de0b, CPU: user 0.00s system 0.00s, MEM: 10.55 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 38% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... +Time spent: 37% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + diff yosys-always_display-star-1.v yosys-always_display-star-2.v + test_always_display clk_en -DEVENT_CLK -DCOND_EN + local subtest=clk_en @@ -62464,9 +61962,9 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 4f8a3b339c, CPU: user 0.01s system 0.00s, MEM: 10.91 MB peak +End of script. Logfile hash: 4f8a3b339c, CPU: user 0.00s system 0.01s, MEM: 10.90 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 41% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... +Time spent: 40% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-2.v /----------------------------------------------------------------------------\ @@ -62535,9 +62033,9 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 51e7fa3902, CPU: user 0.01s system 0.00s, MEM: 10.89 MB peak +End of script. Logfile hash: 51e7fa3902, CPU: user 0.00s system 0.01s, MEM: 10.91 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 40% 2x opt_expr (0 sec), 19% 1x clean (0 sec), ... +Time spent: 39% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... + diff yosys-always_display-clk_en-1.v yosys-always_display-clk_en-2.v + test_always_display clk_rst_en -DEVENT_CLK_RST -DCOND_EN + local subtest=clk_rst_en @@ -62612,7 +62110,7 @@ End of script. Logfile hash: f9b4876f33, CPU: user 0.01s system 0.00s, MEM: 10.91 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 41% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... +Time spent: 41% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-2.v /----------------------------------------------------------------------------\ @@ -62681,14 +62179,16 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.01s system 0.00s, MEM: 10.92 MB peak +End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.00s system 0.00s, MEM: 10.91 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 39% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... +Time spent: 38% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... + diff yosys-always_display-clk_rst_en-1.v yosys-always_display-clk_rst_en-2.v +Passed memory_bram test 01_03. + test_always_display star_en -DEVENT_STAR -DCOND_EN + local subtest=star_en + shift + ../../yosys -p 'read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-1.v +xprop_and_1s1_2: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -62740,6 +62240,7 @@ Cleaned up 1 empty switch. 2.12. Executing OPT_EXPR pass (perform const folding). +xprop_and_1s1_2: ok Optimizing module m. 3. Executing OPT_EXPR pass (perform const folding). @@ -62756,9 +62257,9 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: d6a7335726, CPU: user 0.01s system 0.00s, MEM: 10.91 MB peak +End of script. Logfile hash: d6a7335726, CPU: user 0.01s system 0.00s, MEM: 10.89 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 41% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... +Time spent: 40% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + ../../yosys -p 'read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-2.v /----------------------------------------------------------------------------\ @@ -62827,9 +62328,9 @@ 4.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 18895a2046, CPU: user 0.01s system 0.00s, MEM: 10.91 MB peak +End of script. Logfile hash: 18895a2046, CPU: user 0.00s system 0.00s, MEM: 10.91 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 39% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... +Time spent: 40% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + diff yosys-always_display-star_en-1.v yosys-always_display-star_en-2.v + test_roundtrip dec_unsigned -DBASE_DEC -DSIGN= + local subtest=dec_unsigned @@ -62895,9 +62396,9 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: bfb187b86d, CPU: user 0.00s system 0.01s, MEM: 10.55 MB peak +End of script. Logfile hash: bfb187b86d, CPU: user 0.01s system 0.00s, MEM: 10.56 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... +Time spent: 27% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -o yosys-roundtrip-dec_unsigned-2.v /----------------------------------------------------------------------------\ @@ -62962,15 +62463,14 @@ End of script. Logfile hash: 4be9539e85, CPU: user 0.01s system 0.00s, MEM: 10.57 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 21% 2x read_verilog (0 sec), ... +Time spent: 25% 1x clean (0 sec), 22% 2x read_verilog (0 sec), ... + diff yosys-roundtrip-dec_unsigned-1.v yosys-roundtrip-dec_unsigned-2.v + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-dec_unsigned + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-1 yosys-roundtrip-dec_unsigned-1.v roundtrip_tb.v + ./iverilog-roundtrip-dec_unsigned-1 -Passed memory_bram test 01_04. -Test: loops -> ok + iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-2 yosys-roundtrip-dec_unsigned-2.v roundtrip_tb.v +Test: ifdef_1 -> ok + ./iverilog-roundtrip-dec_unsigned-1 + diff iverilog-roundtrip-dec_unsigned.log iverilog-roundtrip-dec_unsigned-1.log + diff iverilog-roundtrip-dec_unsigned-1.log iverilog-roundtrip-dec_unsigned-2.log @@ -63038,10 +62538,13 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: bbdfa5ca92, CPU: user 0.00s system 0.01s, MEM: 10.56 MB peak +End of script. Logfile hash: bbdfa5ca92, CPU: user 0.00s system 0.01s, MEM: 10.55 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 27% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -o yosys-roundtrip-dec_signed-2.v +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63103,21 +62606,19 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: b233de92a6, CPU: user 0.01s system 0.00s, MEM: 10.58 MB peak +End of script. Logfile hash: b233de92a6, CPU: user 0.01s system 0.00s, MEM: 10.56 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Time spent: 25% 1x clean (0 sec), 22% 2x read_verilog (0 sec), ... + diff yosys-roundtrip-dec_signed-1.v yosys-roundtrip-dec_signed-2.v + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed -Warning: Resizing cell port TB.uut.data_out from 18 bits to 36 bits. -Warning: Resizing cell port TB.uut.address_in_r from 11 bits to 10 bits. -Test: t_init_9b1B_val_zero -> ok + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-1 yosys-roundtrip-dec_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed-1 + iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-2 yosys-roundtrip-dec_signed-2.v roundtrip_tb.v + ./iverilog-roundtrip-dec_signed-1 + diff iverilog-roundtrip-dec_signed.log iverilog-roundtrip-dec_signed-1.log + diff iverilog-roundtrip-dec_signed-1.log iverilog-roundtrip-dec_signed-2.log +Test: code_verilog_tutorial_first_counter -> ok + test_roundtrip hex_unsigned -DBASE_HEX -DSIGN= + local subtest=hex_unsigned + shift @@ -63182,7 +62683,7 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 2377f2e106, CPU: user 0.01s system 0.00s, MEM: 10.54 MB peak +End of script. Logfile hash: 2377f2e106, CPU: user 0.01s system 0.00s, MEM: 10.55 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -o yosys-roundtrip-hex_unsigned-2.v @@ -63249,17 +62750,19 @@ End of script. Logfile hash: 06bfea69c8, CPU: user 0.01s system 0.00s, MEM: 10.57 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... +Time spent: 25% 1x clean (0 sec), 23% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-hex_unsigned-1.v yosys-roundtrip-hex_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned roundtrip.v roundtrip_tb.v +Test: i2c_master_tests -> ok +Test: t_sync_big_lut -> ok + ./iverilog-roundtrip-hex_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-1 yosys-roundtrip-hex_unsigned-1.v roundtrip_tb.v + ./iverilog-roundtrip-hex_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-2 yosys-roundtrip-hex_unsigned-2.v roundtrip_tb.v -Test: hierarchy -> ok + ./iverilog-roundtrip-hex_unsigned-1 + diff iverilog-roundtrip-hex_unsigned.log iverilog-roundtrip-hex_unsigned-1.log + diff iverilog-roundtrip-hex_unsigned-1.log iverilog-roundtrip-hex_unsigned-2.log +Test: ifdef_2 -> ok + test_roundtrip hex_signed -DBASE_HEX -DSIGN=signed + local subtest=hex_signed + shift @@ -63324,12 +62827,10 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 824c3b1e65, CPU: user 0.00s system 0.00s, MEM: 10.55 MB peak +End of script. Logfile hash: 824c3b1e65, CPU: user 0.01s system 0.00s, MEM: 10.55 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... +Time spent: 28% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -o yosys-roundtrip-hex_signed-2.v -xprop_and_2u2_2: ok -xprop_and_2u2_2: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63391,24 +62892,25 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: f18b3fa15b, CPU: user 0.00s system 0.00s, MEM: 10.55 MB peak +End of script. Logfile hash: f18b3fa15b, CPU: user 0.00s system 0.01s, MEM: 10.57 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Time spent: 25% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-hex_signed-1.v yosys-roundtrip-hex_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-hex_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-1 yosys-roundtrip-hex_signed-1.v roundtrip_tb.v -Test: macro_arg_surrounding_spaces -> ok + ./iverilog-roundtrip-hex_signed-1 + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-2 yosys-roundtrip-hex_signed-2.v roundtrip_tb.v +Passed memory_bram test 01_04. + ./iverilog-roundtrip-hex_signed-1 + diff iverilog-roundtrip-hex_signed.log iverilog-roundtrip-hex_signed-1.log -Test: t_init_9b1B_val_any -> ok + diff iverilog-roundtrip-hex_signed-1.log iverilog-roundtrip-hex_signed-2.log + test_roundtrip oct_unsigned -DBASE_HEX -DSIGN= + local subtest=oct_unsigned + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-oct_unsigned-1.v +xprop_and_2u2_2: ok +xprop_and_2u2_2: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63469,7 +62971,7 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: b768358a65, CPU: user 0.01s system 0.00s, MEM: 10.55 MB peak +End of script. Logfile hash: b768358a65, CPU: user 0.00s system 0.00s, MEM: 10.53 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -o yosys-roundtrip-oct_unsigned-2.v @@ -63536,14 +63038,15 @@ End of script. Logfile hash: 762621cd95, CPU: user 0.01s system 0.00s, MEM: 10.57 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 22% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... +Time spent: 25% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-oct_unsigned-1.v yosys-roundtrip-oct_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned roundtrip.v roundtrip_tb.v +Test: localparam_attr -> ok + ./iverilog-roundtrip-oct_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-1 yosys-roundtrip-oct_unsigned-1.v roundtrip_tb.v -Passed memory_bram test 02_00. + ./iverilog-roundtrip-oct_unsigned-1 + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-2 yosys-roundtrip-oct_unsigned-2.v roundtrip_tb.v +Test: t_sync_small -> ok + ./iverilog-roundtrip-oct_unsigned-1 + diff iverilog-roundtrip-oct_unsigned.log iverilog-roundtrip-oct_unsigned-1.log + diff iverilog-roundtrip-oct_unsigned-1.log iverilog-roundtrip-oct_unsigned-2.log @@ -63551,6 +63054,7 @@ + local subtest=oct_signed + shift + ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-oct_signed-1.v +Test: code_verilog_tutorial_flip_flop -> ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63611,16 +63115,10 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 7ec82b15e3, CPU: user 0.00s system 0.01s, MEM: 10.55 MB peak +End of script. Logfile hash: 7ec82b15e3, CPU: user 0.01s system 0.00s, MEM: 10.54 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits. + ../../yosys -p 'read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -o yosys-roundtrip-oct_signed-2.v -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -63684,14 +63182,14 @@ End of script. Logfile hash: a747b9bd4f, CPU: user 0.01s system 0.00s, MEM: 10.57 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... +Time spent: 26% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-oct_signed-1.v yosys-roundtrip-oct_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed roundtrip.v roundtrip_tb.v -Warning: Selection "asym_ram_sdp_read_wider" did not match any module. + ./iverilog-roundtrip-oct_signed + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-1 yosys-roundtrip-oct_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-oct_signed-1 + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-2 yosys-roundtrip-oct_signed-2.v roundtrip_tb.v +Test: loop_prefix_case -> ok + ./iverilog-roundtrip-oct_signed-1 + diff iverilog-roundtrip-oct_signed.log iverilog-roundtrip-oct_signed-1.log + diff iverilog-roundtrip-oct_signed-1.log iverilog-roundtrip-oct_signed-2.log @@ -63759,9 +63257,9 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 270b564880, CPU: user 0.01s system 0.00s, MEM: 10.55 MB peak +End of script. Logfile hash: 270b564880, CPU: user 0.00s system 0.00s, MEM: 10.54 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 25% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Time spent: 27% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -o yosys-roundtrip-bin_unsigned-2.v /----------------------------------------------------------------------------\ @@ -63824,18 +63322,19 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: dc9f56cb10, CPU: user 0.00s system 0.01s, MEM: 10.57 MB peak +End of script. Logfile hash: dc9f56cb10, CPU: user 0.00s system 0.00s, MEM: 10.57 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 23% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... +Time spent: 25% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-bin_unsigned-1.v yosys-roundtrip-bin_unsigned-2.v + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-bin_unsigned + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-1 yosys-roundtrip-bin_unsigned-1.v roundtrip_tb.v +Test: t_sync_small_block -> ok + ./iverilog-roundtrip-bin_unsigned-1 +Test: loop_var_shadow -> ok + iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-2 yosys-roundtrip-bin_unsigned-2.v roundtrip_tb.v + ./iverilog-roundtrip-bin_unsigned-1 + diff iverilog-roundtrip-bin_unsigned.log iverilog-roundtrip-bin_unsigned-1.log -Test: t_init_9b1B_val_no_undef -> ok + diff iverilog-roundtrip-bin_unsigned-1.log iverilog-roundtrip-bin_unsigned-2.log + test_roundtrip bin_signed -DBASE_HEX -DSIGN=signed + local subtest=bin_signed @@ -63903,7 +63402,7 @@ End of script. Logfile hash: 7709253822, CPU: user 0.01s system 0.00s, MEM: 10.55 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 26% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... +Time spent: 25% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + ../../yosys -p 'read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -o yosys-roundtrip-bin_signed-2.v /----------------------------------------------------------------------------\ @@ -63966,25 +63465,24 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\m'. -End of script. Logfile hash: 7e2d8271c4, CPU: user 0.01s system 0.00s, MEM: 10.57 MB peak +End of script. Logfile hash: 7e2d8271c4, CPU: user 0.00s system 0.01s, MEM: 10.57 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) Time spent: 25% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... + diff yosys-roundtrip-bin_signed-1.v yosys-roundtrip-bin_signed-2.v + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed roundtrip.v roundtrip_tb.v + ./iverilog-roundtrip-bin_signed -Test: macros -> ok + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-1 yosys-roundtrip-bin_signed-1.v roundtrip_tb.v + ./iverilog-roundtrip-bin_signed-1 -xprop_or_1u1_1: ok -xprop_or_1u1_1: ok + iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-2 yosys-roundtrip-bin_signed-2.v roundtrip_tb.v + ./iverilog-roundtrip-bin_signed-1 + diff iverilog-roundtrip-bin_signed.log iverilog-roundtrip-bin_signed-1.log + diff iverilog-roundtrip-bin_signed-1.log iverilog-roundtrip-bin_signed-2.log +xprop_or_1u1_1: ok + test_cxxrtl always_full + local subtest=always_full + shift + ../../yosys -p 'read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' +xprop_or_1u1_1: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -64091,54 +63589,63 @@ End of script. Logfile hash: af8795c7c4, CPU: user 0.02s system 0.00s, MEM: 13.46 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 30% 2x read_verilog (0 sec), 23% 2x write_cxxrtl (0 sec), ... +Time spent: 30% 2x read_verilog (0 sec), 24% 2x write_cxxrtl (0 sec), ... + gcc -std=c++11 -o yosys-always_full -I../../backends/cxxrtl/runtime always_full_tb.cc -lstdc++ -Passed qlf_k6n10f-t_mem0.ys -Test: hierdefparam -> ok -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. -Passed memory_bram test 02_01. -Test: t_init_13b2B_val_any -> ok -Warning: Complex async reset for dff `\Q'. -Test: t_init_18b2B_val_any -> ok +Test: t_sync_small_block_attr -> ok +Test: macro_arg_surrounding_spaces -> ok +Test: loops -> ok +Test: code_verilog_tutorial_fsm_full -> ok +Test: dynslice -> ok +Test: t_init_lut_zeros_zero -> ok xprop_or_1s1_2: ok xprop_or_1s1_2: ok -Test: i2c_master_tests -> ok -Passed memory_bram test 02_03. -Test: t_init_18b2B_val_no_undef -> ok -Test: ifdef_1 -> ok -Test: t_init_4b1B_x_none -> ok -Test: ifdef_2 -> ok -Passed memory_bram test 02_04. +Passed xilinx-bug1480.ys +Test: macros -> ok +Test: t_init_lut_zeros_any -> ok +Test: code_verilog_tutorial_good_code -> ok +Test: fiedler-cooley -> ok +Test: t_init_lut_val_zero -> ok +Test: code_verilog_tutorial_if_else -> ok +K[10]Test: forgen01 -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! xprop_or_2u2_2: ok xprop_or_2u2_2: ok -Test: mem2reg -> ok -Test: t_init_4b1B_x_zero -> ok -Test: localparam_attr -> ok -Passed memory_bram test 03_00. -Test: t_init_4b1B_x_any -> ok -Test: loop_prefix_case -> ok +Test: mem2reg_bounds_tern -> ok +Test: t_init_lut_val_any -> ok +Test: code_verilog_tutorial_multiply -> ok +K[11]Test: forgen02 -> ok +Test: t_init_lut_val_no_undef -> ok xprop_xor_1u1_1: ok xprop_xor_1u1_1: ok -Test: mem2reg_bounds_tern -> ok -Test: t_init_4b1B_x_no_undef -> ok -Test: loop_var_shadow -> ok -Test: t_clock_a4_wANYrANYsFalse -> ok +Test: code_verilog_tutorial_mux_21 -> ok +Test: mem2reg -> ok +Test: t_init_lut_val2_any -> ok +Test: forloops -> ok +Test: code_verilog_tutorial_n_out_primitive -> ok +Test: t_init_lut_val2_no_undef -> ok xprop_xor_1s1_2: ok xprop_xor_1s1_2: ok -Test: loops -> ok -Test: t_clock_a4_wANYrNEGsFalse -> ok -Test: macro_arg_surrounding_spaces -> ok -Test: t_clock_a4_wANYrPOSsFalse -> ok +Test: fsm -> ok +Test: code_verilog_tutorial_parallel_if -> ok +Test: t_init_lut_x_none -> ok +Test: mem_arst -> ok +Passed memory_bram test 02_00. +Test: func_block -> ok +Test: t_init_lut_x_zero -> ok +Test: code_verilog_tutorial_parity -> ok + ./yosys-always_full + iverilog -o iverilog-always_full always_full.v always_full_tb.v -+ ./iverilog-always_full + grep -v '\$finish called' -Passed memory_bram test 03_01. ++ ./iverilog-always_full +xprop_xor_2u2_2: ok + diff iverilog-always_full.log yosys-always_full.log + test_cxxrtl always_comb + local subtest=always_comb + shift + ../../yosys -p 'read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' +xprop_xor_2u2_2: ok /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | @@ -64268,28 +63775,35 @@ -End of script. Logfile hash: a6b3c2e895, CPU: user 0.00s system 0.01s, MEM: 12.29 MB peak +End of script. Logfile hash: a6b3c2e895, CPU: user 0.01s system 0.00s, MEM: 12.27 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 31% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... +Time spent: 30% 2x opt_expr (0 sec), 17% 1x clean (0 sec), ... + gcc -std=c++11 -o yosys-always_comb -I../../backends/cxxrtl/runtime always_comb_tb.cc -lstdc++ -xprop_xor_2u2_2: ok -xprop_xor_2u2_2: ok -Test: mem_arst -> ok -Test: t_clock_a4_wNEGrANYsFalse -> ok -Test: macros -> ok -Test: t_clock_a4_wNEGrPOSsFalse -> ok +Test: t_init_lut_x_any -> ok +Test: func_recurse -> ok +Test: code_verilog_tutorial_simple_function -> ok +Passed memory_bram test 02_01. +Test: module_scope -> ok +Test: t_init_lut_x_no_undef -> ok +Test: code_verilog_tutorial_simple_if -> ok +Test: module_scope_case -> ok +Test: func_width_scope -> ok +Test: t_ram_18b2B -> ok +Passed xilinx-bug1598.ys xprop_xnor_1u1_1: ok xprop_xnor_1u1_1: ok -Passed memory_bram test 03_02. -Test: t_clock_a4_wNEGrNEGsFalse -> ok -Test: t_clock_a4_wPOSrANYsFalse -> ok -Passed memory_bram test 03_04. +Test: code_verilog_tutorial_task_global -> ok +Passed memory_bram test 02_03. +Test: genblk_collide -> ok +Test: t_ram_9b1B -> ok +Test: module_scope_func -> ok +Test: t_ram_4b1B -> ok +Test: genblk_dive -> ok +Test: code_verilog_tutorial_v2k_reg -> ok + ./yosys-always_comb -xprop_xnor_1s1_2: ok + iverilog -o iverilog-always_comb always_comb.v always_comb_tb.v -xprop_xnor_1s1_2: ok -Test: mem2reg -> ok + ./iverilog-always_comb +Test: code_verilog_tutorial_tri_buf -> ok + grep -v '\$finish called' + diff iverilog-always_comb.log yosys-always_comb.log + ../../yosys -p 'read_verilog always_full.v; prep; clean' -o yosys-always_full-1.v @@ -64304,6 +63818,7 @@ -- Running command `read_verilog always_full.v; prep; clean' -- 1. Executing Verilog-2005 frontend: always_full.v +xprop_xnor_1s1_2: ok Parsing Verilog input from `always_full.v' to AST representation. Generating RTLIL representation for module `\always_full'. Successfully finished Verilog frontend. @@ -64354,6 +63869,7 @@ Optimizing module always_full. 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). +xprop_xnor_1s1_2: ok Finding unused cells or wires in module \always_full.. Removed 0 unused cells and 207 unused wires. @@ -64443,12 +63959,12 @@ 3.2. Executing DEMUXMAP pass. Dumping module `\always_full'. -End of script. Logfile hash: 52e889d7da, CPU: user 0.03s system 0.00s, MEM: 13.95 MB peak +End of script. Logfile hash: 52e889d7da, CPU: user 0.04s system 0.00s, MEM: 13.95 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 20% 4x opt_clean (0 sec), 16% 1x prep (0 sec), ... +Time spent: 21% 4x opt_clean (0 sec), 15% 1x prep (0 sec), ... + iverilog -o iverilog-always_full-1 yosys-always_full-1.v always_full_tb.v -K+ grep -v '\$finish called' -[19]+ ./iverilog-always_full-1 ++ grep -v '\$finish called' ++ ./iverilog-always_full-1 + diff iverilog-always_full.log iverilog-always_full-1.log + ../../yosys -p 'read_verilog display_lm.v' + ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' @@ -64539,24 +64055,35 @@ -End of script. Logfile hash: 15a147f3a6, CPU: user 0.00s system 0.01s, MEM: 11.13 MB peak +End of script. Logfile hash: 15a147f3a6, CPU: user 0.01s system 0.00s, MEM: 11.14 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 31% 1x opt_expr (0 sec), 17% 2x read_verilog (0 sec), ... -Test: t_clock_a4_wPOSrNEGsFalse -> ok +Time spent: 34% 1x opt_expr (0 sec), 20% 2x read_verilog (0 sec), ... + gcc -std=c++11 -o yosys-display_lm_cc -I../../backends/cxxrtl/runtime display_lm_tb.cc -lstdc++ -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_clock_a4_wPOSrPOSsFalse -> ok -Test: mem2reg_bounds_tern -> ok -[15][16]Test: t_clock_a4_wANYrANYsTrue -> ok -[17][18]Passed memory_bram test 04_00. +Test: t_ram_2b1B -> ok +Passed memory_bram test 02_04. +Warning: Wire top.\t is used but has no driver. +Warning: Wire top.\in is used but has no driver. +Test: genblk_order -> ok +Test: genblk_port_shadow -> ok +Test: code_verilog_tutorial_which_clock -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/asicworld' +...passed tests in tests/asicworld +cd tests/cxxrtl && bash run-test.sh "" ++ run_subtest value ++ local subtest=value ++ shift ++ gcc -std=c++11 -O2 -o cxxrtl-test-value -I../../backends/cxxrtl/runtime test_value.cc -lstdc++ +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. +Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. +Test: t_ram_1b1B -> ok +Test: graphtest -> ok xprop_xnor_2u2_2: ok xprop_xnor_2u2_2: ok -K[21]Test: t_clock_a4_wNEGrPOSsTrue -> ok +Test: t_init_9b1B_zeros_zero -> ok + ./yosys-display_lm_cc + for log in yosys-display_lm.log yosys-display_lm_cc.log + grep '^%l: \\bot$' yosys-display_lm.log @@ -64571,295 +64098,247 @@ %m: \bot %m: \bot ...passed tests in tests/fmt -cd tests/cxxrtl && bash run-test.sh "" -+ run_subtest value -+ local subtest=value -+ shift -+ gcc -std=c++11 -O2 -o cxxrtl-test-value -I../../backends/cxxrtl/runtime test_value.cc -lstdc++ -Test: mem_arst -> ok -Test: t_clock_a4_wNEGrNEGsTrue -> ok -Passed memory_bram test 04_01. -Test: t_clock_a4_wPOSrNEGsTrue -> ok -xprop_add_5u3_3: ok -xprop_add_5u3_3: ok +Test: hierarchy -> ok +Passed memory_bram test 03_00. +Test: t_init_9b1B_zeros_any -> ok + ./cxxrtl-test-value + run_subtest value_fuzz + local subtest=value_fuzz + shift + gcc -std=c++11 -O2 -o cxxrtl-test-value_fuzz -I../../backends/cxxrtl/runtime test_value_fuzz.cc -lstdc++ -Test: t_clock_a4_wPOSrPOSsTrue -> ok -Test: t_unmixed -> ok -Passed memory_bram test 04_02. +Test: t_init_9b1B_val_zero -> ok +Test: t_init_9b1B_val_any -> ok +xprop_add_5u3_3: ok +xprop_add_5u3_3: ok +K[12]Test: t_init_9b1B_val_no_undef -> ok +Test: hierdefparam -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Passed xilinx-bug1605.ys +Test: t_init_13b2B_val_any -> ok +Passed memory_bram test 03_01. +Test: t_init_18b2B_val_any -> ok +Test: i2c_master_tests -> ok xprop_add_5s3_3: ok xprop_add_5s3_3: ok -Test: t_mixed_9_18 -> ok -Passed memory_bram test 04_03. -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/bram' -...passed tests in tests/bram -Test: t_mixed_18_9 -> ok -Test: t_mixed_36_9 -> ok +Test: t_init_18b2B_val_no_undef -> ok +Test: generate -> ok +Passed xilinx-bug3670.ys +Test: t_init_4b1B_x_none -> ok +Test: ifdef_1 -> ok +Test: t_init_4b1B_x_zero -> ok +Passed memory_bram test 03_02. +Test: ifdef_2 -> ok +Test: t_init_4b1B_x_any -> ok +K[13]Test: localparam_attr -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! xprop_sub_5u3_3: ok xprop_sub_5u3_3: ok -Test: module_scope -> ok -Test: t_mixed_4_2 -> ok -+ ./cxxrtl-test-value_fuzz -Passed xilinx-asym_ram_sdp.ys -Test: t_tdp -> ok -Test: module_scope_case -> ok +Test: loop_prefix_case -> ok +Test: t_init_4b1B_x_no_undef -> ok Test: memory -> ok ++ ./cxxrtl-test-value_fuzz +Test: loop_var_shadow -> ok +Test: t_clock_a4_wANYrANYsFalse -> ok +Passed memory_bram test 03_04. +Test: loops -> ok +Test: macro_arg_surrounding_spaces -> ok +KTest: t_clock_a4_wANYrNEGsFalse -> ok +[14]xprop_sub_5s3_3: ok xprop_sub_5s3_3: ok -xprop_sub_5s3_3: ok -Test: t_sync_2clk -> ok -Test: module_scope_func -> ok -Test: memory -> ok -Test: t_sync_shared -> ok -Test: module_scope -> ok -Test: t_sync_2clk_shared -> ok -xprop_mul_5u3_3: ok +K[15]Test: t_clock_a4_wANYrPOSsFalse -> ok +Test: macros -> ok +Test: multiplier -> ok +Test: t_clock_a4_wNEGrANYsFalse -> ok +KTest: mem2reg -> ok +[16]xprop_mul_5u3_3: ok xprop_mul_5u3_3: ok -Test: module_scope_case -> ok -Test: t_sync_trans_old_old -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Passed memory_bram test 04_00. +Test: mem2reg_bounds_tern -> ok +Test: t_clock_a4_wNEGrPOSsFalse -> ok +Test: t_clock_a4_wNEGrNEGsFalse -> ok Test: muxtree -> ok -Test: module_scope_func -> ok -Test: multiplier -> ok -Test: t_sync_trans_old_new -> ok -Test: named_genblk -> ok +Test: mem_arst -> ok +Passed xilinx-counter.ys +Test: t_clock_a4_wPOSrANYsFalse -> ok xprop_mul_5s3_3: ok xprop_mul_5s3_3: ok -Test: nested_genblk_resolve -> ok -Test: t_sync_trans_old_none -> ok -KTest: omsp_dbg_uart -> ok -[22]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: +Test: named_genblk -> ok +Test: t_clock_a4_wPOSrNEGsFalse -> ok +K[17]Test: nested_genblk_resolve -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sync_trans_new_old -> ok -Test: multiplier -> ok -Test: param_attr -> ok -Test: t_sync_trans_new_new -> ok -K[23]xprop_div_5u3_3: ok +Test: module_scope -> ok +Passed memory_bram test 04_01. +Test: t_clock_a4_wPOSrPOSsFalse -> ok +Test: module_scope_case -> ok xprop_div_5u3_3: ok +xprop_div_5u3_3: ok +Test: omsp_dbg_uart -> ok +Test: t_clock_a4_wANYrANYsTrue -> ok +Passed memory_bram test 04_02. +Test: module_scope_func -> ok +Test: t_clock_a4_wNEGrPOSsTrue -> ok +K[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_div_5s3_3: ok +xprop_div_5s3_3: ok +Test: t_clock_a4_wNEGrNEGsTrue -> ok +Passed memory_bram test 04_03. +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/bram' +...passed tests in tests/bram +Test: t_clock_a4_wPOSrNEGsTrue -> ok +Test: multiplier -> ok +KTest: t_clock_a4_wPOSrPOSsTrue -> ok +[19]Test: memory -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: t_unmixed -> ok +Test: t_mixed_9_18 -> ok Test: muxtree -> ok -Test: t_sync_trans_new_none -> ok -Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits. -K[24]Test: named_genblk -> ok -Test: t_sp_nc_none -> ok +Test: named_genblk -> ok +xprop_mod_5u3_3: ok +xprop_mod_5u3_3: ok +Test: t_mixed_18_9 -> ok +Test: t_mixed_36_9 -> ok +Test: nested_genblk_resolve -> ok +Test: omsp_dbg_uart -> ok +Test: t_mixed_4_2 -> ok +Test: t_tdp -> ok +K[20]Test: param_attr -> ok Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: nested_genblk_resolve -> ok +Test: t_sync_2clk -> ok +xprop_mod_5s3_3: ok +xprop_mod_5s3_3: ok +Test: t_sync_shared -> ok +K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Test: operators -> ok +Test: t_sync_trans_old_old -> ok +Test: t_sync_2clk_shared -> ok +Test: t_sync_trans_old_new -> ok +Test: param_attr -> ok Test: paramods -> ok +xprop_divfloor_5u3_3: ok +xprop_divfloor_5u3_3: ok +Test: t_sync_trans_old_none -> ok +Test: t_sync_trans_new_old -> ok +K[22]Test: t_sync_trans_new_new -> ok +Test: t_sync_trans_new_none -> ok +Test: t_sp_nc_none -> ok +Test: paramods -> ok +xprop_divfloor_5s3_3: ok +xprop_divfloor_5s3_3: ok Test: t_sp_new_none -> ok -Test: omsp_dbg_uart -> ok -xprop_div_5s3_3: ok -xprop_div_5s3_3: ok Test: t_sp_old_none -> ok Test: t_sp_nc_nc -> ok Test: t_sp_new_nc -> ok -xprop_mod_5u3_3: ok -xprop_mod_5u3_3: ok Test: t_sp_old_nc -> ok -Passed qlf_k6n10f-t_mem3.ys -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Test: t_sp_nc_new -> ok -Warning: Complex async reset for dff `\Q'. -Test: operators -> ok +K[23]xprop_modfloor_5u3_3: ok +xprop_modfloor_5u3_3: ok Test: t_sp_new_new -> ok -xprop_mod_5s3_3: ok -xprop_mod_5s3_3: ok Test: t_sp_old_new -> ok -K[25]Test: t_sp_nc_old -> ok -Test: process -> ok -xprop_divfloor_5u3_3: ok -xprop_divfloor_5u3_3: ok +Warning: Resizing cell port TB.uut.address_in_w from 11 bits to 10 bits. +Warning: Resizing cell port TB.uut.data_in from 18 bits to 36 bits. Test: t_sp_new_old -> ok -Test: realexpr -> ok +Test: t_sp_nc_old -> ok Test: t_sp_old_old -> ok -T[26]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_nc_new_only -> ok -K[27]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_modfloor_5s3_3: ok +xprop_modfloor_5s3_3: ok Test: t_sp_new_new_only -> ok -Test: repwhile -> ok -xprop_divfloor_5s3_3: ok -xprop_divfloor_5s3_3: ok Test: t_sp_old_new_only -> ok -K[28]Test: retime -> ok Test: t_sp_nc_new_only_be -> ok Test: t_sp_new_new_only_be -> ok -[19][20][21][22]K[29]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_old_new_only_be -> ok -xprop_modfloor_5u3_3: ok -xprop_modfloor_5u3_3: ok -KTest: t_sp_nc_new_be -> ok -[23][30][24][25][26][27][28][29]K[31][30][31][32]Test: t_sp_new_new_be -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[33][34][35]Test: t_sp_old_new_be -> ok -K[32]xprop_modfloor_5s3_3: ok -xprop_modfloor_5s3_3: ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[36][37][38]Test: t_sp_nc_old_be -> ok -[39][40][41][42][43]Test: t_sp_new_old_be -> ok -K[33]Test: operators -> ok +Test: t_sp_nc_new_be -> ok xprop_lt_5u3_2: ok xprop_lt_5u3_2: ok -Test: partsel -> ok +Test: t_sp_old_new_only_be -> ok +Test: t_sp_new_new_be -> ok +Test: t_sp_old_new_be -> ok +Test: t_sp_nc_old_be -> ok +xprop_lt_5s3_2: ok +xprop_lt_5s3_2: ok +Test: operators -> ok +Test: t_sp_new_old_be -> ok Test: t_sp_old_old_be -> ok -K[34]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_nc_nc_be -> ok -Test: param_attr -> ok -Test: scopes -> ok Test: t_sp_new_nc_be -> ok -xprop_lt_5s3_2: ok -xprop_lt_5s3_2: ok -K[35]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_le_5u3_2: ok +xprop_le_5u3_2: ok +Test: process -> ok Test: t_sp_old_nc_be -> ok -Test: sign_part_assign -> ok +Passed xilinx-dffs.ys +Test: realexpr -> ok Test: t_sp_nc_auto -> ok -Test: paramods -> ok -K[36]K[37]xprop_le_5u3_2: ok -xprop_le_5u3_2: ok -Test: t_sp_new_auto -> ok -Test: signed_full_slice -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_old_auto -> ok -K[38]Test: signedexpr -> ok -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: rotate -> ok +Passed xilinx-dsp_abc9.ys +Test: process -> ok xprop_le_5s3_2: ok xprop_le_5s3_2: ok -Test: t_sp_nc_auto_be -> ok -K[39]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[44][45][46]Test: specify -> ok -[47][48][49]Test: t_sp_new_auto_be -> ok -Test: string_format -> ok -Test: t_sp_old_auto_be -> ok -Passed qlf_k6n10f-t_mem4.ys +Test: t_sp_new_auto -> ok +Test: repwhile -> ok +Test: t_sp_old_auto -> ok +Test: realexpr -> ok +Test: retime -> ok +Warning: Resizing cell port TB.uut.address_in_w from 10 bits to 8 bits. +Warning: Resizing cell port TB.uut.data_in from 8 bits to 32 bits. xprop_eq_5u3_2: ok -Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. xprop_eq_5u3_2: ok -[50][51][52][53]K[54][40]Test: t_sp_init_x_x -> ok -[55][56]Warning: Complex async reset for dff `\Q'. -[57][58]Test: t_sp_init_x_x_re -> ok -Test: subbytes -> ok -Test: sincos -> ok +Test: t_sp_nc_auto_be -> ok +Test: partsel -> ok +Test: t_sp_new_auto_be -> ok +Test: repwhile -> ok +Test: t_sp_old_auto_be -> ok +Test: retime -> ok xprop_eq_5s3_2: ok xprop_eq_5s3_2: ok +Test: t_sp_init_x_x -> ok +Test: t_sp_init_x_x_re -> ok +Test: scopes -> ok +Test: rotate -> ok +Passed xilinx-dsp_fastfir.ys +xprop_ne_5u3_2: ok +xprop_ne_5u3_2: ok Test: t_sp_init_x_x_ce -> ok -K[41]Test: undef_eqx_nex -> ok +Test: scopes -> ok +Passed xilinx-blockram.ys Test: t_sp_init_0_x -> ok +Test: sign_part_assign -> ok Test: t_sp_init_0_x_re -> ok -Test: usb_phy_tests -> ok -xprop_ne_5u3_2: ok -xprop_ne_5u3_2: ok -Test: t_sp_init_0_0 -> ok -Test: task_func -> ok -Test: t_sp_init_0_0_re -> ok -Test: verilog_primitives -> ok -Test: values -> ok -Test: t_sp_init_0_any -> ok xprop_ne_5s3_2: ok xprop_ne_5s3_2: ok -Test: t_sp_init_0_any_re -> ok -K[42][59][60]Test: t_sp_init_v_x -> ok -Test: wandwor -> ok -Test: t_sp_init_v_x_re -> ok +Test: signed_full_slice -> ok +Test: sign_part_assign -> ok +Test: t_sp_init_0_0 -> ok +Test: t_sp_init_0_0_re -> ok +Test: signedexpr -> ok +Test: signed_full_slice -> ok xprop_eqx_5u3_2: ok xprop_eqx_5u3_2: ok -K[43]Test: t_sp_init_v_0 -> ok -Test: vloghammer -> ok -Test: t_sp_init_v_0_re -> ok -Test: t_sp_init_v_any -> ok -Test: t_sp_arst_x_x -> ok +Test: t_sp_init_0_any -> ok +Test: signedexpr -> ok +Warning: Resizing cell port TB.uut.data_out from 18 bits to 36 bits. +Warning: Resizing cell port TB.uut.address_in_r from 11 bits to 10 bits. +Test: t_sp_init_0_any_re -> ok xprop_eqx_5s3_2: ok xprop_eqx_5s3_2: ok -Test: t_sp_init_v_any_re -> ok -Test: wreduce -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/simple' -...passed tests in tests/simple -K[44]K[45]Test: t_sp_arst_x_x_re -> ok -Test: t_sp_arst_0_x -> ok -Test: t_sp_arst_0_x_re -> ok -[46]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Test: t_sp_arst_0_0 -> ok -xprop_nex_5u3_2: ok -xprop_nex_5u3_2: ok -Test: t_sp_arst_0_0_re -> ok -K[47]Test: t_sp_arst_0_any -> ok -[61][62][63]Test: t_sp_arst_0_any_re -> ok -[64]K[48][65][66][67][68][69]Test: t_sp_arst_0_init -> ok -[70][71]Test: t_sp_arst_0_init_re -> ok -[72][73][74][75]xprop_nex_5s3_2: ok -K[49]xprop_nex_5s3_2: ok -[76][77][78]Test: t_sp_arst_v_x -> ok -[79][80]Test: t_sp_arst_v_x_re -> ok -[81]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -[82]K[83][84][85]K[86]Test: t_sp_arst_v_0 -> ok -[87][88][89]Test: t_sp_arst_v_0_re -> ok -[90][91][92][93][94]Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. -[95]Test: t_sp_arst_v_any -> ok -[96]Passed xilinx-attributes_test.ys -xprop_ge_5u3_2: ok -xprop_ge_5u3_2: ok -[97][98]Test: realexpr -> ok -Test: process -> ok -[99]make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/opt_share' - -Test: t_sp_arst_v_any_re -> ok -...passed tests in tests/opt_share -Test: t_sp_arst_v_init -> ok -K -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/fsm' -...passed tests in tests/fsm -Test: t_sp_arst_v_init_re -> ok -Test: t_sp_arst_e_x -> ok -Test: retime -> ok -Test: repwhile -> ok -Test: t_sp_arst_e_x_re -> ok -Test: t_sp_arst_e_0 -> ok -Test: t_sp_arst_e_0_re -> ok -xprop_ge_5s3_2: ok -xprop_ge_5s3_2: ok -Test: t_sp_arst_e_any -> ok -Test: t_sp_arst_e_any_re -> ok -Test: t_sp_arst_e_init -> ok -Test: t_sp_arst_e_init_re -> ok -Test: scopes -> ok +Test: t_sp_init_v_x -> ok +Test: t_sp_init_v_x_re -> ok +Passed qlf_k6n10f-t_mem0.ys +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Randomized tests for value::shl: Test passed @ Bits = 8. Test passed @ Bits = 32. @@ -65025,473 +64504,699 @@ Warnings: 2 unique messages, 2 total -End of script. Logfile hash: 91df85c4b8, CPU: user 0.01s system 0.00s, MEM: 12.02 MB peak +End of script. Logfile hash: 91df85c4b8, CPU: user 0.01s system 0.00s, MEM: 12.05 MB peak Yosys 0.52 (git sha1 fee39a3284c90249e1d9684cf6944ffbbcbb8f90) -Time spent: 30% 2x opt_expr (0 sec), 16% 1x clean (0 sec), ... +Time spent: 34% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... + gcc -std=c++11 -c -o cxxrtl-test-unconnected_output -I../../backends/cxxrtl/runtime cxxrtl-test-unconnected_output.cc +xprop_nex_5u3_2: ok +xprop_nex_5u3_2: ok +Test: t_sp_init_v_0 -> ok +Test: rotate -> ok +Test: sincos -> ok +Warning: Shift register inference not yet supported for family xc3se. +Warning: Complex async reset for dff `\Q'. +Test: t_sp_init_v_0_re -> ok +Test: string_format -> ok +Test: specify -> ok +Passed xilinx-dsp_simd.ys +xprop_nex_5s3_2: ok +xprop_nex_5s3_2: ok +...passed tests in tests/cxxrtl +Test: t_sp_init_v_any -> ok +Test: t_sp_init_v_any_re -> ok +Test: sincos -> ok +Test: subbytes -> ok +xprop_ge_5s3_2: ok +xprop_ge_5s3_2: ok +xprop_ge_5u3_2: ok +Test: string_format -> ok +xprop_ge_5u3_2: ok +Test: t_sp_arst_x_x -> ok +Test: task_func -> ok +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. +Test: undef_eqx_nex -> ok +Test: t_sp_arst_x_x_re -> ok +Test: subbytes -> ok +Test: usb_phy_tests -> ok +xprop_gt_5s3_2: ok +xprop_gt_5s3_2: ok +Test: t_sp_arst_0_x -> ok +xprop_gt_5u3_2: ok +xprop_gt_5u3_2: ok +Passed xilinx-fsm.ys +Test: values -> ok +Test: t_sp_arst_0_x_re -> ok +Test: verilog_primitives -> ok +Test: t_sp_arst_0_0 -> ok +Warning: Resizing cell port TB.uut.data_out from 8 bits to 32 bits. +Warning: Resizing cell port TB.uut.address_in_r from 10 bits to 8 bits. +xprop_reduce_and_3u_3: ok +xprop_reduce_and_3u_3: ok +Test: task_func -> ok +xprop_reduce_and_3s_3: ok +xprop_reduce_and_3s_3: ok +Test: t_sp_arst_0_0_re -> ok +Test: undef_eqx_nex -> ok +Test: wandwor -> ok +Test: t_sp_arst_0_any -> ok +Warning: Wire TB.\rq_b [7] is used but has no driver. +Warning: Wire TB.\rq_b [6] is used but has no driver. +Warning: Wire TB.\rq_b [5] is used but has no driver. +Warning: Wire TB.\rq_b [4] is used but has no driver. +Warning: Wire TB.\rq_b [3] is used but has no driver. +Warning: Wire TB.\rq_b [2] is used but has no driver. +Warning: Wire TB.\rq_b [1] is used but has no driver. +Warning: Wire TB.\rq_b [0] is used but has no driver. +xprop_reduce_or_3u_3: ok +xprop_reduce_or_3u_3: ok +xprop_reduce_or_3s_3: ok +xprop_reduce_or_3s_3: ok +Test: usb_phy_tests -> ok +Test: vloghammer -> ok +Test: t_sp_arst_0_any_re -> ok +Test: values -> ok +Test: t_sp_arst_0_init -> ok +Test: wreduce -> ok +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/simple' +...passed tests in tests/simple +xprop_reduce_xor_3u_3: ok +xprop_reduce_xor_3u_3: ok +xprop_reduce_xor_3s_3: ok +xprop_reduce_xor_3s_3: ok +xprop_reduce_xnor_3u_3: ok +Test: verilog_primitives -> ok +xprop_reduce_xnor_3u_3: ok +Test: t_sp_arst_0_init_re -> ok +Test: t_sp_arst_v_x -> ok +xprop_reduce_bool_1u_1: ok +xprop_reduce_bool_1u_1: ok +xprop_reduce_xnor_3s_3: ok +xprop_reduce_xnor_3s_3: ok +Test: vloghammer -> ok +Passed xilinx-logic.ys +xprop_reduce_bool_3u_3: ok +xprop_reduce_bool_3u_3: ok +Test: t_sp_arst_v_x_re -> ok +xprop_reduce_bool_3s_3: ok +xprop_reduce_bool_3s_3: ok +xprop_reduce_bool_3s_1: ok +Test: t_sp_arst_v_0 -> ok +xprop_reduce_bool_3s_1: ok +Test: wandwor -> ok +xprop_logic_not_1u_1: ok +xprop_logic_not_1u_1: ok +Test: t_sp_arst_v_0_re -> ok +xprop_logic_not_3u_3: ok +xprop_logic_not_3u_3: ok +Test: t_sp_arst_v_any -> ok +xprop_logic_not_3s_3: ok +xprop_logic_not_3s_3: ok +Test: t_sp_arst_v_any_re -> ok +xprop_logic_not_3s_1: ok +xprop_logic_not_3s_1: ok +Test: t_sp_arst_v_init -> ok +xprop_logic_and_1u1_1: ok +xprop_logic_and_1u1_1: ok +Test: t_sp_arst_v_init_re -> ok +Test: wreduce -> ok +xprop_logic_and_3u3_3: ok +xprop_logic_and_3u3_3: ok +[24]Test: t_sp_arst_e_x -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_logic_and_3s3_3: ok +xprop_logic_and_3s3_3: ok +Test: arrays02 -> ok +Test: t_sp_arst_e_x_re -> ok +K[25]T[26]Test: t_sp_arst_e_0 -> ok +K[27]Passed xilinx-latches.ys +Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 +xprop_logic_and_3s3_1: ok +xprop_logic_and_3s3_1: ok +Test: t_sp_arst_e_0_re -> ok +Test: arrays03 -> ok +Test: t_sp_arst_e_any -> ok +xprop_logic_or_1u1_1: ok +xprop_logic_or_1u1_1: ok +Test: t_sp_arst_e_any_re -> ok +Test: t_sp_arst_e_init -> ok +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. +K[28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +K[29]xprop_logic_or_3u3_3: ok +xprop_logic_or_3u3_3: ok +Test: t_sp_arst_e_init_re -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +K[30]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_arst_n_x -> ok +Test: asgn_binop -> ok Test: t_sp_arst_n_x_re -> ok +K[31]Test: case_expr_extend -> ok +xprop_logic_or_3s3_3: ok +xprop_logic_or_3s3_3: ok +Passed xilinx-mul.ys +K[32]Test: case_expr_query -> ok Test: t_sp_arst_n_0 -> ok +Passed xilinx-macc.ys +Warning: Shift register inference not yet supported for family xc3se. Test: t_sp_arst_n_0_re -> ok +xprop_logic_or_3s3_1: ok +xprop_logic_or_3s3_1: ok +Test: defvalue -> ok Test: t_sp_arst_n_any -> ok Test: t_sp_arst_n_any_re -> ok -xprop_gt_5u3_2: ok -xprop_gt_5u3_2: ok -Test: sign_part_assign -> ok +xprop_shl_4u3u_3: ok +xprop_shl_4u3u_3: ok +Test: implicit_ports -> ok +K[33]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_arst_n_init -> ok +Test: lesser_size_cast -> ok Test: t_sp_arst_n_init_re -> ok +Test: local_loop_var -> ok +xprop_shl_4s3u_3: ok +xprop_shl_4s3u_3: ok +K[34]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_srst_x_x -> ok -Test: t_sp_srst_x_x_re -> ok -Test: t_sp_srst_0_x -> ok -Test: signed_full_slice -> ok -xprop_reduce_and_3u_3: ok -xprop_reduce_and_3u_3: ok -xprop_gt_5s3_2: ok -xprop_gt_5s3_2: ok +K[35]Test: t_sp_srst_x_x_re -> ok +xprop_shr_4u3u_3: ok +xprop_shr_4u3u_3: ok +K[36]Test: t_sp_srst_0_x -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. +/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. +Test: macro_arg_spaces -> ok Test: t_sp_srst_0_x_re -> ok -...passed tests in tests/cxxrtl +Test: matching_end_labels -> ok +xprop_shr_4s3u_3: ok +xprop_shr_4s3u_3: ok Test: t_sp_srst_0_0 -> ok -Test: t_sp_srst_0_0_re -> ok -Test: rotate -> ok -Test: signedexpr -> ok -Test: t_sp_srst_0_any_re -> ok +Test: memwr_port_connection -> ok +K[37]Test: t_sp_srst_0_0_re -> ok +Test: unnamed_block_decl -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_sshl_4u3u_3: ok Test: t_sp_srst_0_any -> ok +xprop_sshl_4u3u_3: ok +Test: t_sp_srst_0_any_re -> ok +K[38]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_srst_0_init -> ok -Test: string_format -> ok -xprop_reduce_or_3u_3: ok -xprop_reduce_or_3u_3: ok -xprop_reduce_and_3s_3: ok Test: t_sp_srst_0_init_re -> ok -xprop_reduce_and_3s_3: ok -xprop_reduce_or_3s_3: ok -xprop_reduce_or_3s_3: ok -Test: t_sp_srst_v_x -> ok -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits. +K[39]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +K[40]Test: t_sp_srst_v_x -> ok Test: t_sp_srst_v_x_re -> ok +Passed xilinx-mul_unsigned.ys +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_sshl_4s3u_3: ok +xprop_sshl_4s3u_3: ok Test: t_sp_srst_v_0 -> ok -Test: t_sp_srst_v_any -> ok Test: t_sp_srst_v_0_re -> ok -Test: subbytes -> ok +Test: t_sp_srst_v_any -> ok Test: t_sp_srst_v_any_re -> ok +xprop_sshr_4u3u_3: ok +xprop_sshr_4u3u_3: ok Test: t_sp_srst_v_any_re_gated -> ok -xprop_reduce_xor_3u_3: ok -xprop_reduce_xor_3s_3: ok -xprop_reduce_xor_3u_3: ok -xprop_reduce_xor_3s_3: ok -Test: t_sp_srst_v_any_ce_gated -> ok Test: t_sp_srst_v_any_ce -> ok +Test: t_sp_srst_v_any_ce_gated -> ok Test: t_sp_srst_v_init -> ok -Test: t_sp_srst_v_init_re -> ok -Test: t_sp_srst_e_x_re -> ok +K[41]Test: t_sp_srst_v_init_re -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_sshr_4s3u_3: ok +xprop_sshr_4s3u_3: ok Test: t_sp_srst_e_x -> ok +Test: t_sp_srst_e_x_re -> ok +K[42]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_srst_e_0 -> ok +K[43]Test: t_sp_srst_e_0_re -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_srst_e_any -> ok -Test: t_sp_srst_e_0_re -> ok +Passed xilinx-mux_lut4.ys +xprop_shift_4u3u_3: ok +xprop_shift_4u3u_3: ok Test: t_sp_srst_e_any_re -> ok -Test: t_sp_srst_e_init -> ok +K[44]K[45]Test: t_sp_srst_e_init -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +Passed xilinx-opt_lut_ins.ys +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_srst_e_init_re -> ok -Test: t_sp_srst_n_x -> ok -xprop_reduce_xnor_3u_3: ok -xprop_reduce_xnor_3u_3: ok -Test: t_sp_srst_n_x_re -> ok -Test: task_func -> ok +K[46]Test: t_sp_srst_n_x -> ok +Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! +xprop_shift_4s3u_3: ok +xprop_shift_4s3u_3: ok +K[47]Test: t_sp_srst_n_x_re -> ok Test: t_sp_srst_n_0 -> ok Test: t_sp_srst_n_0_re -> ok -Test: t_sp_srst_n_any_re -> ok Test: t_sp_srst_n_any -> ok +xprop_shift_4u2s_8: ok +xprop_shift_4u2s_8: ok +Test: t_sp_srst_n_any_re -> ok Test: t_sp_srst_n_init -> ok -Test: undef_eqx_nex -> ok Test: t_sp_srst_n_init_re -> ok -Test: t_sp_srst_gv_x_re -> ok +Passed xilinx-mux.ys Test: t_sp_srst_gv_x -> ok +K[48]Test: t_sp_srst_gv_x_re -> ok Test: t_sp_srst_gv_0 -> ok +Passed xilinx-nosrl.ys Test: t_sp_srst_gv_0_re -> ok -xprop_reduce_xnor_3s_3: ok -xprop_reduce_xnor_3s_3: ok -Test: usb_phy_tests -> ok +xprop_shift_4s2s_8: ok +xprop_shift_4s2s_8: ok Test: t_sp_srst_gv_any -> ok -Test: sincos -> ok -Test: t_sp_srst_gv_any_re_gated -> ok -Test: t_sp_srst_gv_any_ce -> ok +K[49]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: + Users of state reg look like FSM recoding might result in larger circuit. + Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Test: t_sp_srst_gv_any_re -> ok +KTest: t_sp_srst_gv_any_re_gated -> ok +K +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/fsm' +...passed tests in tests/fsm +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Test: t_sp_srst_gv_any_ce_gated -> ok +Test: t_sp_srst_gv_any_ce -> ok Test: t_sp_srst_gv_init -> ok +Warning: Complex async reset for dff `\Q'. +xprop_shift_4u3s_3: ok +xprop_shift_4u3s_3: ok Test: t_sp_srst_gv_init_re -> ok -Test: t_wren_a5d4_NO_BYTE -> ok -Test: verilog_primitives -> ok -Test: t_wren_a6d4_NO_BYTE -> ok Test: t_wren_a4d4_NO_BYTE -> ok -xprop_reduce_bool_1u_1: ok -xprop_reduce_bool_1u_1: ok +Test: t_wren_a6d4_NO_BYTE -> ok +Test: t_wren_a5d4_NO_BYTE -> ok +xprop_shift_4s3s_3: ok +xprop_shift_4s3s_3: ok Test: t_wren_a4d8_NO_BYTE -> ok -Test: values -> ok Test: t_wren_a3d8_NO_BYTE -> ok +Passed qlf_k6n10f-t_mem1.ys +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +xprop_shiftx_4u2s_8: ok +xprop_shiftx_4u2s_8: ok +Warning: Complex async reset for dff `\Q'. Test: t_wren_a4d4_W4_B4 -> ok Test: t_wren_a4d8_W4_B4_separate -> ok -Test: t_wren_a4d8_W8_B4 -> ok -Test: t_wren_a4d8_W8_B8 -> ok Test: t_wren_a4d8_W8_B4_separate -> ok +Test: t_wren_a4d8_W8_B4 -> ok +xprop_shiftx_4u3s_3: ok +xprop_shiftx_4u3s_3: ok +Passed xilinx-shifter.ys +xprop_mux_1: ok +xprop_mux_1: ok Test: t_wren_a4d8_W8_B8_separate -> ok -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. +Test: t_wren_a4d8_W8_B8 -> ok Test: t_wren_a4d2w8_W16_B4_separate -> ok -xprop_reduce_bool_3u_3: ok -xprop_reduce_bool_3u_3: ok Test: t_wren_a4d2w8_W16_B4 -> ok -Test: vloghammer -> ok +xprop_mux_3: ok +xprop_mux_3: ok +Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. +Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. +Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. Test: t_wren_a4d4w4_W16_B4 -> ok -Test: wandwor -> ok +xprop_bmux_1_2: ok +xprop_bmux_1_2: ok Test: t_wren_a4d4w4_W16_B4_separate -> ok Test: t_wren_a5d4w2_W16_B4 -> ok Test: t_wren_a5d4w2_W16_B4_separate -> ok -Test: arrays02 -> ok -Test: t_wren_a5d4w4_W16_B4_separate -> ok +Passed xilinx-pmgen_xilinx_srl.ys Test: t_wren_a5d4w4_W16_B4 -> ok +Test: t_wren_a5d4w4_W16_B4_separate -> ok +xprop_bmux_2_2: ok +xprop_bmux_2_2: ok +xprop_bmux_3_1: ok +xprop_bmux_3_1: ok Test: t_wren_a4d8w2_W16_B4 -> ok Test: t_wren_a4d8w2_W16_B4_separate -> ok Test: t_wren_a5d8w1_W16_B4 -> ok -xprop_reduce_bool_3s_3: ok -xprop_reduce_bool_3s_3: ok -Test: t_wren_a5d8w2_W16_B4_separate -> ok -Test: t_wren_a5d8w2_W16_B4 -> ok Test: t_wren_a5d8w1_W16_B4_separate -> ok +Test: t_wren_a5d8w2_W16_B4 -> ok +Test: t_wren_a5d8w2_W16_B4_separate -> ok +xprop_demux_1_2: ok +xprop_demux_1_2: ok +xprop_demux_2_2: ok +xprop_demux_2_2: ok Test: t_wren_a4d16w1_W16_B4 -> ok Test: t_wren_a4d16w1_W16_B4_separate -> ok +Passed xilinx-tribuf.ys Test: t_wren_a4d4w2_W8_B8 -> ok +Passed xilinx-xilinx_dsp.ys +Warning: Shift register inference not yet supported for family xc3s. Test: t_wren_a4d4w2_W8_B8_separate -> ok +Passed xilinx-xilinx_srl.ys Test: t_wren_a4d4w1_W8_B8 -> ok +Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. +Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. +xprop_pmux_1_4: ok +xprop_pmux_1_4: ok +xprop_demux_3_1: ok +xprop_demux_3_1: ok Test: t_wren_a4d4w1_W8_B8_separate -> ok -Test: arrays03 -> ok +Passed xilinx-xilinx_dffopt.ys Test: t_wren_a4d8w2_W8_B8 -> ok Test: t_wren_a4d8w2_W8_B8_separate -> ok Test: t_wren_a3d8w2_W8_B8 -> ok -xprop_reduce_bool_3s_1: ok -xprop_reduce_bool_3s_1: ok Test: t_wren_a3d8w2_W8_B8_separate -> ok +xprop_pmux_3_1: ok +xprop_pmux_3_1: ok Test: t_wren_a4d4w2_W8_B4 -> ok -Test: t_wren_a4d2w4_W8_B4 -> ok -xprop_logic_not_1u_1: ok -xprop_logic_not_1u_1: ok +xprop_pmux_2_2: ok +xprop_pmux_2_2: ok Test: t_wren_a4d4w2_W8_B4_separate -> ok +Test: t_wren_a4d2w4_W8_B4 -> ok Test: t_wren_a4d2w4_W8_B4_separate -> ok Test: t_wren_a4d4w4_W8_B4 -> ok -Test: wreduce -> ok -Test: t_wren_a4d4w4_W4_B4 -> ok -xprop_logic_not_3u_3: ok +xprop_bwmux_1: ok +xprop_bwmux_1: ok +xprop_pmux_4_4: ok +xprop_pmux_4_4: ok Test: t_wren_a4d4w4_W8_B4_separate -> ok -xprop_logic_not_3u_3: ok +Test: t_wren_a4d4w4_W4_B4 -> ok Test: t_wren_a4d4w4_W4_B4_separate -> ok +xprop_bweqx_1: ok +xprop_bweqx_1: ok +Passed xilinx-lutram.ys Test: t_wren_a4d4w5_W4_B4 -> ok -Test: case_expr_extend -> ok +xprop_bwmux_3: ok +xprop_bwmux_3: ok Test: t_wren_a4d4w5_W4_B4_separate -> ok Test: t_geom_a4d64_wren -> ok -xprop_logic_not_3s_3: ok -xprop_logic_not_3s_3: ok -Test: t_geom_a5d32_wren -> ok +xprop_ff_1: ok Test: t_geom_a5d64_wren -> ok -Test: case_expr_query -> ok +xprop_ff_1: ok +Test: t_geom_a5d32_wren -> ok +xprop_bweqx_3: ok +xprop_bweqx_3: ok +xprop_ff_3: ok +xprop_ff_3: ok Test: t_geom_a6d16_wren -> ok -Passed qlf_k6n10f-t_mem5.ys -xprop_logic_not_3s_1: ok -xprop_logic_not_3s_1: ok Test: t_geom_a6d30_wren -> ok -Test: t_geom_a7d4_wren -> ok -Test: asgn_binop -> ok Test: t_geom_a6d64_wren -> ok +Test: t_geom_a7d4_wren -> ok +xprop_dff_1pd: ok +xprop_dff_1pd: ok +xprop_dff_1nd: ok +xprop_dff_1nd: ok Test: t_geom_a7d6_wren -> ok -xprop_logic_and_1u1_1: ok -xprop_logic_and_1u1_1: ok -Test: t_geom_a7d17_wren -> ok -Test: implicit_ports -> ok +xprop_dff_3pd: ok +xprop_dff_3pd: ok Test: t_geom_a7d8_wren -> ok -Test: lesser_size_cast -> ok -Test: defvalue -> ok +Test: t_geom_a7d17_wren -> ok Test: t_geom_a8d4_wren -> ok Test: t_geom_a8d6_wren -> ok -Test: local_loop_var -> ok +xprop_dffe_1pnd: ok +xprop_dffe_1pnd: ok +xprop_dff_3nd: ok +xprop_dff_3nd: ok Test: t_geom_a9d4_wren -> ok +xprop_dffe_1nnd: ok +xprop_dffe_1nnd: ok Test: t_geom_a9d8_wren -> ok -xprop_logic_and_3u3_3: ok -xprop_logic_and_3u3_3: ok +Passed xilinx-tribuf.sh Test: t_geom_a9d5_wren -> ok -Test: matching_end_labels -> ok Test: t_geom_a9d6_wren -> ok -Test: t_geom_a4d4_9b1B -> ok Test: t_geom_a3d18_9b1B -> ok +Test: t_geom_a4d4_9b1B -> ok Test: t_geom_a4d18_9b1B -> ok -xprop_logic_and_3s3_3: ok -xprop_logic_and_3s3_3: ok -Test: unnamed_block_decl -> ok -Test: memwr_port_connection -> ok +xprop_dffe_1ppd: ok +xprop_dffe_1ppd: ok +xprop_dffe_3pnd: ok +xprop_dffe_3pnd: ok Test: t_geom_a5d32_9b1B -> ok Test: t_geom_a6d4_9b1B -> ok Test: t_geom_a7d11_9b1B -> ok +xprop_dffe_1npd: ok +xprop_dffe_1npd: ok +xprop_dffe_3nnd: ok +xprop_dffe_3nnd: ok +Passed xilinx-macc.sh Test: t_geom_a7d18_9b1B -> ok -xprop_logic_and_3s3_1: ok -xprop_logic_and_3s3_1: ok -Test: macro_arg_spaces -> ok -xprop_logic_or_1u1_1: ok -xprop_logic_or_1u1_1: ok -Test: t_geom_a11d1_9b1B -> ok +Passed xilinx-dsp_cascade.ys Test: t_wide_sdp_a6r1w1b1x1 -> ok +Test: t_geom_a11d1_9b1B -> ok Test: t_wide_sdp_a7r1w1b1x1 -> ok Test: t_wide_sdp_a8r1w1b1x1 -> ok -xprop_logic_or_3u3_3: ok -xprop_logic_or_3u3_3: ok Test: t_wide_sdp_a6r0w0b0x0 -> ok -Test: t_wide_sdp_a6r2w0b0x0 -> ok Test: t_wide_sdp_a6r1w0b0x0 -> ok +xprop_dffe_3ppd: ok +xprop_dffe_3ppd: ok +Test: t_wide_sdp_a6r2w0b0x0 -> ok Test: t_wide_sdp_a6r3w0b0x0 -> ok -xprop_logic_or_3s3_3: ok -xprop_logic_or_3s3_3: ok -xprop_logic_or_3s3_1: ok -xprop_logic_or_3s3_1: ok +xprop_dffe_3npd: ok +xprop_dffe_3npd: ok +done +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/xprop' +...passed tests in tests/xprop Test: t_wide_sdp_a6r0w1b0x0 -> ok Test: t_wide_sdp_a6r5w0b0x0 -> ok -Test: t_wide_sdp_a6r0w1b1x0 -> ok Test: t_wide_sdp_a6r4w0b0x0 -> ok -Test: t_wide_sdp_a6r0w2b0x0 -> ok +Test: t_wide_sdp_a6r0w1b1x0 -> ok Test: t_wide_sdp_a6r0w2b2x0 -> ok -xprop_shl_4u3u_3: ok -xprop_shl_4u3u_3: ok +Test: t_wide_sdp_a6r0w2b0x0 -> ok Test: t_wide_sdp_a6r0w3b2x0 -> ok Test: t_wide_sdp_a6r0w4b2x0 -> ok Test: t_wide_sdp_a7r0w0b0x0 -> ok -xprop_shl_4s3u_3: ok -xprop_shl_4s3u_3: ok Test: t_wide_sdp_a6r0w5b2x0 -> ok Test: t_wide_sdp_a7r1w0b0x0 -> ok Test: t_wide_sdp_a7r2w0b0x0 -> ok -xprop_shr_4u3u_3: ok -xprop_shr_4u3u_3: ok Test: t_wide_sdp_a7r3w0b0x0 -> ok -Test: t_wide_sdp_a7r4w0b0x0 -> ok Test: t_wide_sdp_a7r5w0b0x0 -> ok +Test: t_wide_sdp_a7r4w0b0x0 -> ok Test: t_wide_sdp_a7r0w1b0x0 -> ok -Test: t_wide_sdp_a7r0w1b1x0 -> ok -xprop_shr_4s3u_3: ok -xprop_shr_4s3u_3: ok Test: t_wide_sdp_a7r0w2b0x0 -> ok -Test: t_wide_sdp_a7r0w2b2x0 -> ok -Test: t_wide_sdp_a7r0w3b2x0 -> ok +Test: t_wide_sdp_a7r0w1b1x0 -> ok Test: t_wide_sdp_a7r0w4b2x0 -> ok -xprop_sshl_4u3u_3: ok -xprop_sshl_4u3u_3: ok +Test: t_wide_sdp_a7r0w2b2x0 -> ok +Test: t_wide_sp_mix_a6r1w1b1 -> ok Test: t_wide_sdp_a7r0w5b2x0 -> ok -Passed xilinx-bug1462.ys -Passed xilinx-bug1460.ys -Test: t_wide_sp_mix_a7r1w1b1 -> ok -xprop_sshl_4s3u_3: ok -xprop_sshl_4s3u_3: ok +Test: t_wide_sdp_a7r0w3b2x0 -> ok Test: t_wide_sp_mix_a8r1w1b1 -> ok -Test: t_wide_sp_mix_a6r1w1b1 -> ok Test: t_wide_sp_mix_a6r0w0b0 -> ok -xprop_sshr_4u3u_3: ok -xprop_sshr_4u3u_3: ok -Test: t_wide_sp_mix_a6r1w0b0 -> ok +Test: t_wide_sp_mix_a7r1w1b1 -> ok Test: t_wide_sp_mix_a6r2w0b0 -> ok Test: t_wide_sp_mix_a6r3w0b0 -> ok -Test: t_wide_sp_mix_a6r0w1b1 -> ok +Test: t_wide_sp_mix_a6r1w0b0 -> ok Test: t_wide_sp_mix_a6r4w0b0 -> ok -xprop_sshr_4s3u_3: ok -xprop_sshr_4s3u_3: ok -Test: t_wide_sp_mix_a6r5w0b0 -> ok Test: t_wide_sp_mix_a6r0w1b0 -> ok +Test: t_wide_sp_mix_a6r5w0b0 -> ok +Test: t_wide_sp_mix_a6r0w1b1 -> ok Test: t_wide_sp_mix_a6r0w2b0 -> ok -xprop_shift_4u3u_3: ok -xprop_shift_4u3u_3: ok -Test: t_wide_sp_mix_a6r0w2b2 -> ok Test: t_wide_sp_mix_a6r0w3b2 -> ok +Test: t_wide_sp_mix_a6r0w2b2 -> ok +Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits. Test: t_wide_sp_mix_a6r0w4b2 -> ok -xprop_shift_4s3u_3: ok -xprop_shift_4s3u_3: ok -Test: t_wide_sp_mix_a6r0w5b2 -> ok Test: t_wide_sp_mix_a7r0w0b0 -> ok -Test: t_wide_sp_mix_a7r2w0b0 -> ok Test: t_wide_sp_mix_a7r1w0b0 -> ok -xprop_shift_4u2s_8: ok -xprop_shift_4u2s_8: ok -Test: t_wide_sp_mix_a7r4w0b0 -> ok +Test: t_wide_sp_mix_a6r0w5b2 -> ok Test: t_wide_sp_mix_a7r3w0b0 -> ok -Test: t_wide_sp_mix_a7r0w1b0 -> ok +Test: t_wide_sp_mix_a7r2w0b0 -> ok +Test: t_wide_sp_mix_a7r4w0b0 -> ok Test: t_wide_sp_mix_a7r5w0b0 -> ok -xprop_shift_4s2s_8: ok -xprop_shift_4s2s_8: ok -xprop_shift_4u3s_3: ok -xprop_shift_4u3s_3: ok -Test: t_wide_sp_mix_a7r0w2b0 -> ok -Test: t_wide_sp_mix_a7r0w2b2 -> ok +Test: t_wide_sp_mix_a7r0w1b0 -> ok Test: t_wide_sp_mix_a7r0w1b1 -> ok +Test: t_wide_sp_mix_a7r0w2b2 -> ok +Test: t_wide_sp_mix_a7r0w2b0 -> ok Test: t_wide_sp_mix_a7r0w3b2 -> ok -xprop_shift_4s3s_3: ok -xprop_shift_4s3s_3: ok Test: t_wide_sp_mix_a7r0w4b2 -> ok +Test: t_wide_sp_tied_a7r1w1b1 -> ok Test: t_wide_sp_tied_a6r1w1b1 -> ok Test: t_wide_sp_mix_a7r0w5b2 -> ok -Test: t_wide_sp_tied_a7r1w1b1 -> ok -Passed xilinx-bug1480.ys -Test: t_wide_sp_tied_a6r0w0b0 -> ok Test: t_wide_sp_tied_a8r1w1b1 -> ok +Test: t_wide_sp_tied_a6r0w0b0 -> ok Test: t_wide_sp_tied_a6r1w0b0 -> ok -xprop_shiftx_4u2s_8: ok -xprop_shiftx_4u2s_8: ok Test: t_wide_sp_tied_a6r2w0b0 -> ok -xprop_shiftx_4u3s_3: ok -xprop_shiftx_4u3s_3: ok -xprop_mux_1: ok -xprop_mux_1: ok -Test: t_wide_sp_tied_a6r4w0b0 -> ok +Test: t_wide_sp_tied_a6r0w1b0 -> ok Test: t_wide_sp_tied_a6r3w0b0 -> ok +Test: t_wide_sp_tied_a6r4w0b0 -> ok Test: t_wide_sp_tied_a6r5w0b0 -> ok -Test: t_wide_sp_tied_a6r0w1b0 -> ok Test: t_wide_sp_tied_a6r0w1b1 -> ok -Warning: Wire top.\t is used but has no driver. -Warning: Wire top.\in is used but has no driver. Test: t_wide_sp_tied_a6r0w2b0 -> ok +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Test: t_wide_sp_tied_a6r0w2b2 -> ok -Passed xilinx-bug1598.ys Test: t_wide_sp_tied_a6r0w3b2 -> ok Test: t_wide_sp_tied_a6r0w4b2 -> ok -xprop_mux_3: ok -xprop_mux_3: ok -xprop_bmux_1_2: ok -xprop_bmux_1_2: ok -xprop_bmux_2_2: ok Test: t_wide_sp_tied_a7r0w0b0 -> ok -xprop_bmux_2_2: ok +Warning: Complex async reset for dff `\Q'. +Test: t_wide_sp_tied_a7r2w0b0 -> ok Test: t_wide_sp_tied_a6r0w5b2 -> ok Test: t_wide_sp_tied_a7r1w0b0 -> ok -Test: t_wide_sp_tied_a7r2w0b0 -> ok Test: t_wide_sp_tied_a7r3w0b0 -> ok -Passed xilinx-bug3670.ys Test: t_wide_sp_tied_a7r4w0b0 -> ok Test: t_wide_sp_tied_a7r5w0b0 -> ok -Test: t_wide_sp_tied_a7r0w1b1 -> ok Test: t_wide_sp_tied_a7r0w1b0 -> ok -xprop_bmux_3_1: ok -xprop_bmux_3_1: ok +Test: t_wide_sp_tied_a7r0w3b2 -> ok Test: t_wide_sp_tied_a7r0w2b0 -> ok +Test: t_wide_sp_tied_a7r0w1b1 -> ok Test: t_wide_sp_tied_a7r0w2b2 -> ok -xprop_demux_1_2: ok -xprop_demux_1_2: ok Test: t_wide_sp_tied_a7r0w4b2 -> ok -Test: t_wide_sp_tied_a7r0w3b2 -> ok -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. -Test: t_wide_sp_tied_a7r0w5b2 -> ok -xprop_demux_2_2: ok -xprop_demux_2_2: ok Test: t_wide_read_a6r1w1b1 -> ok Test: t_wide_write_a6r1w1b1 -> ok +Test: t_wide_sp_tied_a7r0w5b2 -> ok Test: t_wide_read_a7r1w1b1 -> ok -Passed qlf_k6n10f-t_mem6.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/quicklogic/qlf_k6n10f' -...passed tests in tests/arch/quicklogic/qlf_k6n10f -Test: t_wide_write_a7r1w1b1 -> ok Test: t_wide_read_a8r1w1b1 -> ok -xprop_pmux_1_4: ok -xprop_pmux_1_4: ok -xprop_demux_3_1: ok -xprop_demux_3_1: ok -Test: t_wide_write_a8r1w1b1 -> ok -xprop_pmux_2_2: ok -xprop_pmux_2_2: ok +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +Test: t_wide_write_a7r1w1b1 -> ok Test: t_wide_read_a6r0w0b0 -> ok -Passed xilinx-bug1605.ys -Test: t_wide_read_a6r1w0b0 -> ok +Test: t_wide_write_a8r1w1b1 -> ok Test: t_wide_write_a6r0w0b0 -> ok +Warning: Complex async reset for dff `\Q'. +Test: t_wide_read_a6r1w0b0 -> ok Test: t_wide_write_a6r1w0b0 -> ok -xprop_pmux_3_1: ok -xprop_pmux_3_1: ok +Test: t_wide_read_a6r2w0b0 -> ok Test: t_wide_write_a6r2w0b0 -> ok Test: t_wide_read_a6r3w0b0 -> ok -Test: t_wide_read_a6r2w0b0 -> ok +Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits. Test: t_wide_write_a6r3w0b0 -> ok -xprop_bwmux_1: ok -xprop_bwmux_1: ok +Passed xilinx-priority_memory.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/xilinx' +...passed tests in tests/arch/xilinx Test: t_wide_write_a6r4w0b0 -> ok Test: t_wide_read_a6r4w0b0 -> ok -xprop_bwmux_3: ok -xprop_bweqx_1: ok -xprop_bwmux_3: ok -xprop_bweqx_1: ok Test: t_wide_read_a6r5w0b0 -> ok Test: t_wide_read_a6r0w1b0 -> ok +Test: t_wide_read_a6r0w1b1 -> ok Test: t_wide_write_a6r5w0b0 -> ok -xprop_bweqx_3: ok -xprop_bweqx_3: ok -xprop_pmux_4_4: ok -xprop_pmux_4_4: ok Test: t_wide_write_a6r0w1b0 -> ok -xprop_ff_1: ok -xprop_ff_1: ok -Test: t_wide_write_a6r0w1b1 -> ok -Test: t_wide_read_a6r0w1b1 -> ok Test: t_wide_read_a6r0w2b0 -> ok +Test: t_wide_write_a6r0w1b1 -> ok Test: t_wide_write_a6r0w2b0 -> ok -xprop_ff_3: ok -xprop_ff_3: ok -Test: t_wide_read_a6r0w2b2 -> ok -Passed xilinx-counter.ys -xprop_dff_1pd: ok -xprop_dff_1pd: ok Test: t_wide_write_a6r0w2b2 -> ok -Test: t_wide_read_a6r0w3b2 -> ok +Test: t_wide_read_a6r0w2b2 -> ok +Test: t_wide_read_a6r0w4b2 -> ok Test: t_wide_write_a6r0w3b2 -> ok -xprop_dff_3pd: ok -xprop_dff_3pd: ok -xprop_dff_1nd: ok -xprop_dff_1nd: ok +Test: t_wide_read_a6r0w3b2 -> ok Test: t_wide_write_a6r0w4b2 -> ok -Test: t_wide_read_a6r0w4b2 -> ok +Test: t_wide_read_a7r0w0b0 -> ok Test: t_wide_read_a6r0w5b2 -> ok -xprop_dff_3nd: ok -xprop_dff_3nd: ok Test: t_wide_write_a6r0w5b2 -> ok -Test: t_wide_read_a7r0w0b0 -> ok -xprop_dffe_1pnd: ok -xprop_dffe_1pnd: ok Test: t_wide_write_a7r0w0b0 -> ok Test: t_wide_read_a7r1w0b0 -> ok -xprop_dffe_1nnd: ok -xprop_dffe_1nnd: ok -Test: t_wide_read_a7r2w0b0 -> ok -xprop_dffe_3pnd: ok Test: t_wide_write_a7r1w0b0 -> ok -xprop_dffe_3pnd: ok -xprop_dffe_1ppd: ok -xprop_dffe_1ppd: ok -xprop_dffe_3nnd: ok -xprop_dffe_3nnd: ok -Test: t_wide_read_a7r3w0b0 -> ok -Test: t_wide_write_a7r2w0b0 -> ok -xprop_dffe_1npd: ok -xprop_dffe_1npd: ok +Test: t_wide_read_a7r2w0b0 -> ok Test: t_wide_write_a7r3w0b0 -> ok -Test: t_wide_read_a7r4w0b0 -> ok +Test: t_wide_write_a7r2w0b0 -> ok +Test: t_wide_read_a7r3w0b0 -> ok Test: t_wide_write_a7r4w0b0 -> ok -xprop_dffe_3ppd: ok -xprop_dffe_3ppd: ok -Test: t_wide_write_a7r5w0b0 -> ok +Test: t_wide_read_a7r4w0b0 -> ok Test: t_wide_read_a7r5w0b0 -> ok -Test: t_wide_write_a7r0w1b0 -> ok -xprop_dffe_3npd: ok -xprop_dffe_3npd: ok -done -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/xprop' -...passed tests in tests/xprop +Test: t_wide_write_a7r5w0b0 -> ok Test: t_wide_read_a7r0w1b0 -> ok +Test: t_wide_write_a7r0w1b0 -> ok Test: t_wide_write_a7r0w1b1 -> ok -Test: t_wide_read_a7r0w1b1 -> ok Test: t_wide_read_a7r0w2b0 -> ok +Test: t_wide_read_a7r0w1b1 -> ok Test: t_wide_write_a7r0w2b0 -> ok Test: t_wide_read_a7r0w2b2 -> ok Test: t_wide_write_a7r0w2b2 -> ok @@ -65499,203 +65204,82 @@ Test: t_wide_write_a7r0w3b2 -> ok Test: t_wide_write_a7r0w4b2 -> ok Test: t_wide_read_a7r0w4b2 -> ok -Test: t_wide_read_a7r0w5b2 -> ok Test: t_quad_port_a2d2 -> ok -Test: t_quad_port_a4d2 -> ok +Test: t_wide_read_a7r0w5b2 -> ok Test: t_wide_write_a7r0w5b2 -> ok -Test: t_quad_port_a4d4 -> ok Test: t_quad_port_a5d2 -> ok +Test: t_quad_port_a4d2 -> ok +Test: t_quad_port_a4d4 -> ok Test: t_quad_port_a6d2 -> ok -Test: t_quad_port_a4d8 -> ok Test: t_wide_quad_a4w2r1 -> ok +Test: t_quad_port_a4d8 -> ok Test: t_wide_oct_a4w2r1 -> ok Test: t_wide_quad_a4w2r2 -> ok -Passed xilinx-dsp_fastfir.ys Test: t_wide_oct_a4w2r2 -> ok -Test: t_wide_quad_a4w2r4 -> ok Test: t_wide_quad_a4w2r3 -> ok Test: t_wide_oct_a4w2r3 -> ok +Test: t_wide_quad_a4w2r4 -> ok +Test: t_wide_quad_a4w2r5 -> ok Test: t_wide_oct_a4w2r4 -> ok Test: t_wide_oct_a4w2r5 -> ok -Test: t_wide_quad_a4w2r5 -> ok Test: t_wide_quad_a4w2r6 -> ok Test: t_wide_oct_a4w2r6 -> ok Test: t_wide_quad_a4w2r7 -> ok -Test: t_wide_oct_a4w2r7 -> ok -Warning: Shift register inference not yet supported for family xc3se. -Test: t_wide_quad_a4w2r8 -> ok Test: t_wide_oct_a4w2r8 -> ok +Test: t_wide_oct_a4w2r7 -> ok Test: t_wide_quad_a4w2r9 -> ok -Test: t_wide_oct_a4w2r9 -> ok Test: t_wide_oct_a4w4r1 -> ok +Test: t_wide_oct_a4w2r9 -> ok +Test: t_wide_quad_a4w2r8 -> ok Test: t_wide_quad_a4w4r1 -> ok Test: t_wide_quad_a4w4r4 -> ok Test: t_wide_oct_a4w4r4 -> ok Test: t_wide_quad_a4w4r6 -> ok -Test: t_wide_oct_a4w4r6 -> ok Test: t_wide_quad_a4w4r9 -> ok +Test: t_wide_oct_a4w4r6 -> ok Test: t_wide_oct_a4w4r9 -> ok -Test: t_wide_quad_a5w2r4 -> ok Test: t_wide_quad_a5w2r1 -> ok Test: t_wide_oct_a5w2r1 -> ok -Passed xilinx-dsp_simd.ys -Test: t_wide_oct_a5w2r4 -> ok +Test: t_wide_quad_a5w2r4 -> ok Test: t_wide_quad_a5w2r9 -> ok -Test: t_wide_oct_a5w2r9 -> ok +Test: t_wide_oct_a5w2r4 -> ok Test: t_no_reset -> ok +Test: t_wide_oct_a5w2r9 -> ok Test: t_gclken -> ok Test: t_ungated -> ok Test: t_gclken_ce -> ok Test: t_grden -> ok -Test: t_exclwr -> ok Test: t_grden_ce -> ok Test: t_excl_rst -> ok -Test: t_transwr -> ok +Test: t_exclwr -> ok Test: t_trans_rst -> ok +Test: t_transwr -> ok Test: t_wr_byte -> ok Test: t_trans_byte -> ok -Test: t_wr_rst_byte -> ok -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. +Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. +Test: t_rom_case -> ok +Test: t_rom_case_block -> ok Test: t_rst_wr_byte -> ok -Passed xilinx-fsm.ys Test: t_rdenrst_wr_byte -> ok -Test: t_rom_case_block -> ok -Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 -Test: t_rom_case -> ok +Test: t_wr_rst_byte -> ok make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/memlib' ...passed tests in tests/memlib -Passed xilinx-dsp_abc9.ys -Warning: Shift register inference not yet supported for family xc3se. -Passed xilinx-logic.ys -Passed xilinx-dffs.ys -Passed xilinx-opt_lut_ins.ys -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Passed xilinx-latches.ys -Passed xilinx-mul.ys -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.52/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Passed xilinx-nosrl.ys -Passed xilinx-macc.ys -Passed xilinx-shifter.ys -Passed xilinx-xilinx_dsp.ys -Passed xilinx-xilinx_srl.ys -Passed xilinx-blockram.ys -Passed xilinx-mul_unsigned.ys -Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. -Passed xilinx-tribuf.ys -Passed xilinx-xilinx_dffopt.ys -Passed xilinx-pmgen_xilinx_srl.ys -Passed xilinx-mux_lut4.ys -Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. -Passed xilinx-mux.ys -Passed xilinx-tribuf.sh -Passed xilinx-macc.sh -Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits. -Passed xilinx-priority_memory.ys -Warning: Shift register inference not yet supported for family xc3s. +Warning: Complex async reset for dff `\Q'. +Passed qlf_k6n10f-t_mem3.ys +Passed qlf_k6n10f-t_mem2.ys Test: partsel -> ok make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/simple_abc9' ...passed tests in tests/simple_abc9 -Passed xilinx-lutram.ys -Passed xilinx-dsp_cascade.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/xilinx' -...passed tests in tests/arch/xilinx +Passed qlf_k6n10f-t_mem4.ys +Passed qlf_k6n10f-t_mem5.ys +Passed qlf_k6n10f-t_mem6.ys +make[3]: Leaving directory '/build/reproducible-path/yosys-0.52/tests/arch/quicklogic/qlf_k6n10f' +...passed tests in tests/arch/quicklogic/qlf_k6n10f Passed "make test". make[2]: Leaving directory '/build/reproducible-path/yosys-0.52' - rm -fr -- /tmp/dh-xdg-rundir-4RZM4fuV + rm -fr -- /tmp/dh-xdg-rundir-7Qq9iytt make[1]: Leaving directory '/build/reproducible-path/yosys-0.52' create-stamp debian/debhelper-build-stamp dh_prep @@ -65751,27 +65335,27 @@ install -m0755 -d debian/yosys-doc/usr/share/doc-base/ install -p -m0644 debian/yosys-doc.doc-base debian/yosys-doc/usr/share/doc-base/yosys-doc.yosys-manual dh_installchangelogs - install -m0755 -d debian/yosys/usr/share/doc/yosys - install -p -m0644 debian/.debhelper/generated/yosys/dh_installchangelogs.dch.trimmed debian/yosys/usr/share/doc/yosys/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys/usr/share/doc/yosys/changelog + install -m0755 -d debian/yosys-dev/usr/share/doc/yosys-dev + install -p -m0644 debian/.debhelper/generated/yosys-dev/dh_installchangelogs.dch.trimmed debian/yosys-dev/usr/share/doc/yosys-dev/changelog.Debian + install -p -m0644 ./CHANGELOG debian/yosys-dev/usr/share/doc/yosys-dev/changelog install -m0755 -d debian/yosys-doc/usr/share/doc/yosys-doc install -p -m0644 debian/.debhelper/generated/yosys-doc/dh_installchangelogs.dch.trimmed debian/yosys-doc/usr/share/doc/yosys-doc/changelog.Debian install -p -m0644 ./CHANGELOG debian/yosys-doc/usr/share/doc/yosys-doc/changelog install -m0755 -d debian/yosys-abc/usr/share/doc/yosys-abc install -p -m0644 debian/.debhelper/generated/yosys-abc/dh_installchangelogs.dch.trimmed debian/yosys-abc/usr/share/doc/yosys-abc/changelog.Debian install -p -m0644 ./CHANGELOG debian/yosys-abc/usr/share/doc/yosys-abc/changelog - install -m0755 -d debian/yosys-dev/usr/share/doc/yosys-dev - install -p -m0644 debian/.debhelper/generated/yosys-dev/dh_installchangelogs.dch.trimmed debian/yosys-dev/usr/share/doc/yosys-dev/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys-dev/usr/share/doc/yosys-dev/changelog + install -m0755 -d debian/yosys/usr/share/doc/yosys + install -p -m0644 debian/.debhelper/generated/yosys/dh_installchangelogs.dch.trimmed debian/yosys/usr/share/doc/yosys/changelog.Debian + install -p -m0644 ./CHANGELOG debian/yosys/usr/share/doc/yosys/changelog debian/rules execute_before_dh_installman make[1]: Entering directory '/build/reproducible-path/yosys-0.52' cd debian/man ; ./genmanpages.sh make[1]: Leaving directory '/build/reproducible-path/yosys-0.52' dh_installman - install -m0755 -d debian/yosys-abc/usr/share/man/man1/ - install -p -m0644 ./debian/man/yosys-abc.1 debian/yosys-abc/usr/share/man/man1/yosys-abc.1 install -m0755 -d debian/yosys-dev/usr/share/man/man1/ install -p -m0644 ./debian/yosys-config.1 debian/yosys-dev/usr/share/man/man1/yosys-config.1 + install -m0755 -d debian/yosys-abc/usr/share/man/man1/ + install -p -m0644 ./debian/man/yosys-abc.1 debian/yosys-abc/usr/share/man/man1/yosys-abc.1 install -m0755 -d debian/yosys/usr/share/man/man1/ install -p -m0644 ./debian/yosys.1 debian/yosys/usr/share/man/man1/yosys.1 install -m0755 -d debian/yosys/usr/share/man/man1/ @@ -65783,21 +65367,21 @@ man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys.1 + man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 + man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 mv debian/yosys/usr/share/man/man1/yosys-filterlib.1.dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-filterlib.1 - mv debian/yosys/usr/share/man/man1/yosys-witness.1.dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-witness.1 - mv debian/yosys-abc/usr/share/man/man1/yosys-abc.1.dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - chmod 0644 -- debian/yosys-abc/usr/share/man/man1/yosys-abc.1 mv debian/yosys-dev/usr/share/man/man1/yosys-config.1.dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 chmod 0644 -- debian/yosys-dev/usr/share/man/man1/yosys-config.1 mv debian/yosys/usr/share/man/man1/yosys-smtbmc.1.dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-smtbmc.1 + mv debian/yosys/usr/share/man/man1/yosys-witness.1.dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 + chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-witness.1 mv debian/yosys/usr/share/man/man1/yosys.1.dh-new debian/yosys/usr/share/man/man1/yosys.1 chmod 0644 -- debian/yosys/usr/share/man/man1/yosys.1 + mv debian/yosys-abc/usr/share/man/man1/yosys-abc.1.dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 + chmod 0644 -- debian/yosys-abc/usr/share/man/man1/yosys-abc.1 dh_python3 D: dh_python3 dh_python3:180: version: 6.20250414 D: dh_python3 dh_python3:181: argv: ['/usr/bin/dh_python3'] @@ -65832,14 +65416,14 @@ cd debian/yosys-dev cd debian/yosys-abc cd debian/yosys-doc - chmod a-x usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 chmod a-x usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 - chmod a-x usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian chmod a-x usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 + chmod a-x usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian + chmod a-x usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 gzip -9nf usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 + gzip -9nf usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 gzip -9nf usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian gzip -9nf usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 - gzip -9nf usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 cd '/build/reproducible-path/yosys-0.52' cd '/build/reproducible-path/yosys-0.52' cd '/build/reproducible-path/yosys-0.52' @@ -65851,22 +65435,22 @@ find debian/yosys-abc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/yosys-doc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/yosys-abc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-abc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-dev/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-doc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-doc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/yosys-dev/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-dev/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/yosys-doc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/yosys-abc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/yosys-dev/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-doc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/yosys/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-dev/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-doc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/yosys-dev/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-dev -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/yosys -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys-abc/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/yosys-dev/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x - find debian/yosys -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/yosys/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x dh_missing dh_dwz -a @@ -65879,10 +65463,10 @@ dh_dwz: warning: compressed debug information (#931891). rmdir -p --ignore-fail-on-non-empty debian/yosys/usr/lib/debug/.dwz/aarch64-linux-gnu dh_strip -a - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/f2 - objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys-filterlib debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/f2/79cc8a1db2e36b3f2631f709fbb6cab003f0ef.debug install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/5b objcopy --only-keep-debug --compress-debug-sections debian/yosys-abc/usr/bin/yosys-abc debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/5b/08d95acdd8fdc09000a96a7801561bf8ff5b50.debug + install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/f2 + objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys-filterlib debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/f2/79cc8a1db2e36b3f2631f709fbb6cab003f0ef.debug chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/f2/79cc8a1db2e36b3f2631f709fbb6cab003f0ef.debug strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys-filterlib objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/f2/79cc8a1db2e36b3f2631f709fbb6cab003f0ef.debug debian/yosys/usr/bin/yosys-filterlib @@ -65914,10 +65498,6 @@ dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-aarch64.so.1.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 from: /lib/aarch64-linux-gnu/libreadline.so.8 -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 to: /lib/aarch64-linux-gnu/libreadline.so.8.usr-is-merged -dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib/ld-linux-aarch64.so.1 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib/ld-linux-aarch64.so.1.usr-is-merged @@ -65925,6 +65505,10 @@ diversion by libreadline8t64 from: /lib/aarch64-linux-gnu/libreadline.so.8 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libreadline8t64 to: /lib/aarch64-linux-gnu/libreadline.so.8.usr-is-merged +dpkg-shlibdeps: warning: diversions involved - output may be incorrect + diversion by libreadline8t64 from: /lib/aarch64-linux-gnu/libreadline.so.8 +dpkg-shlibdeps: warning: diversions involved - output may be incorrect + diversion by libreadline8t64 to: /lib/aarch64-linux-gnu/libreadline.so.8.usr-is-merged dh_installdeb install -m0755 -d debian/yosys/DEBIAN printf '#!/bin/sh\nset -e\n' > debian/yosys/DEBIAN/postinst @@ -65937,14 +65521,14 @@ install -m0755 -d debian/yosys-abc/DEBIAN install -m0755 -d debian/yosys-doc/DEBIAN dh_gencontrol - install -m0755 -d debian/yosys-doc/DEBIAN - echo misc:Depends= >> debian/yosys-doc.substvars - echo misc:Pre-Depends= >> debian/yosys-doc.substvars - dpkg-gencontrol -pyosys-doc -ldebian/changelog -Tdebian/yosys-doc.substvars -cdebian/control -Pdebian/yosys-doc install -m0755 -d debian/yosys-dev/DEBIAN echo misc:Depends= >> debian/yosys-dev.substvars echo misc:Pre-Depends= >> debian/yosys-dev.substvars dpkg-gencontrol -pyosys-dev -ldebian/changelog -Tdebian/yosys-dev.substvars -cdebian/control -Pdebian/yosys-dev + install -m0755 -d debian/yosys-doc/DEBIAN + echo misc:Depends= >> debian/yosys-doc.substvars + echo misc:Pre-Depends= >> debian/yosys-doc.substvars + dpkg-gencontrol -pyosys-doc -ldebian/changelog -Tdebian/yosys-doc.substvars -cdebian/control -Pdebian/yosys-doc install -m0755 -d debian/yosys/DEBIAN echo misc:Depends= >> debian/yosys.substvars echo misc:Pre-Depends= >> debian/yosys.substvars @@ -65955,48 +65539,48 @@ echo misc:Pre-Depends= >> debian/yosys-abc.substvars install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/.debhelper/yosys-abc/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=yosys-abc-dbgsym "-DDepends=yosys-abc (= \${binary:Version})" "-DDescription=debug symbols for yosys-abc" -DBuild-Ids=5b08d95acdd8fdc09000a96a7801561bf8ff5b50 -DSection=debug -UMulti-Arch -UReplaces -UBreaks +dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined +dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined + chmod 0644 -- debian/yosys-doc/DEBIAN/control chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/control dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/yosys - chmod 0644 -- debian/yosys-doc/DEBIAN/control chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/control dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/yosys-abc -dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined -dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined chmod 0644 -- debian/yosys-dev/DEBIAN/control chmod 0644 -- debian/yosys/DEBIAN/control chmod 0644 -- debian/yosys-abc/DEBIAN/control dh_md5sums + install -m0755 -d debian/yosys-dev/DEBIAN install -m0755 -d debian/yosys-abc/DEBIAN - install -m0755 -d debian/yosys/DEBIAN install -m0755 -d debian/yosys-doc/DEBIAN + install -m0755 -d debian/yosys/DEBIAN cd debian/yosys-abc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - cd debian/yosys >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/yosys-doc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - install -m0755 -d debian/yosys-dev/DEBIAN cd debian/yosys-dev >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - chmod 0644 -- debian/yosys-doc/DEBIAN/md5sums + cd debian/yosys >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/yosys-dev/DEBIAN/md5sums - chmod 0644 -- debian/yosys/DEBIAN/md5sums - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN - cd debian/.debhelper/yosys/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums + chmod 0644 -- debian/yosys-doc/DEBIAN/md5sums chmod 0644 -- debian/yosys-abc/DEBIAN/md5sums install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN cd debian/.debhelper/yosys-abc/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums + chmod 0644 -- debian/yosys/DEBIAN/md5sums + install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN + cd debian/.debhelper/yosys/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/md5sums chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/md5sums dh_builddeb dpkg-deb --root-owner-group --build debian/yosys .. - dpkg-deb --root-owner-group --build debian/yosys-dev .. dpkg-deb --root-owner-group --build debian/.debhelper/yosys/dbgsym-root .. + dpkg-deb --root-owner-group --build debian/yosys-dev .. dpkg-deb --root-owner-group --build debian/yosys-abc .. dpkg-deb --root-owner-group --build debian/.debhelper/yosys-abc/dbgsym-root .. dpkg-deb --root-owner-group --build debian/yosys-doc .. +dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.52-2_arm64.deb'. dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.52-2_arm64.deb'. dpkg-deb: building package 'yosys' in '../yosys_0.52-2_arm64.deb'. -dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.52-2_arm64.deb'. dpkg-deb: building package 'yosys-abc' in '../yosys-abc_0.52-2_arm64.deb'. -dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.52-2_all.deb'. dpkg-deb: building package 'yosys-abc-dbgsym' in '../yosys-abc-dbgsym_0.52-2_arm64.deb'. +dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.52-2_all.deb'. dpkg-genbuildinfo --build=binary -O../yosys_0.52-2_arm64.buildinfo dpkg-genchanges --build=binary -O../yosys_0.52-2_arm64.changes dpkg-genchanges: info: binary-only upload (no source code included) @@ -66005,12 +65589,14 @@ dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration +I: user script /srv/workspace/pbuilder/1583468/tmp/hooks/B01_cleanup starting +I: user script /srv/workspace/pbuilder/1583468/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/3060108 and its subdirectories -I: Current time: Thu Aug 20 17:21:49 -12 2026 -I: pbuilder-time-stamp: 1787289709 +I: removing directory /srv/workspace/pbuilder/1583468 and its subdirectories +I: Current time: Sat Jul 19 13:23:47 +14 2025 +I: pbuilder-time-stamp: 1752881027