Mon Mar 24 09:34:24 UTC 2025 I: starting to build yosys/unstable/i386 on jenkins on '2025-03-24 09:34' Mon Mar 24 09:34:24 UTC 2025 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/i386_8/64049/console.log Mon Mar 24 09:34:24 UTC 2025 I: Downloading source for unstable/yosys=0.51-1 --2025-03-24 09:34:24-- http://deb.debian.org/debian/pool/main/y/yosys/yosys_0.51-1.dsc Connecting to 46.16.76.132:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 3040 (3.0K) [text/prs.lines.tag] Saving to: ‘yosys_0.51-1.dsc’ 0K .. 100% 445M=0s 2025-03-24 09:34:24 (445 MB/s) - ‘yosys_0.51-1.dsc’ saved [3040/3040] Mon Mar 24 09:34:25 UTC 2025 I: yosys_0.51-1.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA256 Format: 3.0 (quilt) Source: yosys Binary: yosys, yosys-dev, yosys-abc, yosys-doc Architecture: any all Version: 0.51-1 Maintainer: Debian Science Maintainers Uploaders: Ruben Undheim , Sebastian Kuzminsky , Daniel Gröber Homepage: https://github.com/YosysHQ/yosys Standards-Version: 4.6.2 Vcs-Browser: https://salsa.debian.org/science-team/yosys Vcs-Git: https://salsa.debian.org/science-team/yosys.git Testsuite: autopkgtest Testsuite-Triggers: g++, gawk, gcc, gtkwave, iverilog, pkg-config, tcl Build-Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, libbz2-dev, zlib1g-dev, bison, flex, gawk, git, libffi-dev, pkgconf, txt2man, iverilog (>= 12), python3, python3-setuptools, libcxxopts-dev, gtkwave Build-Depends-Indep: texlive-base, texlive-plain-generic, texlive-fonts-recommended, texlive-fonts-extra, texlive-latex-base, texlive-latex-extra, texlive-font-utils, texlive-science, texlive-publishers, texlive-bibtex-extra, tex-gyre, latexmk, lmodern, graphviz, faketime, pdf2svg, python3-sphinx, python3-sphinx-press-theme, python3-sphinxcontrib.bibtex, python3-click Package-List: yosys deb electronics optional arch=any yosys-abc deb electronics optional arch=any yosys-dev deb electronics optional arch=any yosys-doc deb doc optional arch=all Checksums-Sha1: a5e86cad7a50606a3d430790153b7267cffcfa06 6655928 yosys_0.51.orig-abc.tar.gz 64794f7985dbffe42b55ff439e7cf864c8ccb116 3289010 yosys_0.51.orig.tar.gz f7736fc6b45a0b066615570cfae31cd453fe828a 22964 yosys_0.51-1.debian.tar.xz Checksums-Sha256: 1b0d023a5f76088fe46de76ddec3d0835f337bea333669a0cbcd289e1accc330 6655928 yosys_0.51.orig-abc.tar.gz aeec40346c862b08dfa1fb9c67c410cca5630828cb8ebfa2f79cda12d78ded99 3289010 yosys_0.51.orig.tar.gz 9c760d6fb2d0982ed1441ddbe6691ea4756a3f1cb38e56e62ccbcfba37233dd7 22964 yosys_0.51-1.debian.tar.xz Files: 62146c4af5461e9b3093fa90ad7287e3 6655928 yosys_0.51.orig-abc.tar.gz 876cbb8c6f316a38bf69e7de808d8694 3289010 yosys_0.51.orig.tar.gz 9d78cc81106b9d9940ff6beebc1dea32 22964 yosys_0.51-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQIzBAEBCAAdFiEEV6G/FbT2+ZuJ7bKf05SBrh55rPcFAmfZaCMACgkQ05SBrh55 rPe5Vw//da/8emEZCzVihazd236six9X8Yx16ak25eOfNTFUovufZpIDyTGtyvDe I3yaw0kCURcP+GXYHdTWXrdyipmPgbP/Dyyf8+WKqadA3KWcna+nEpwnmQ9fem0J /O3n1iR7RwMB7jXbIJ2mBQKc/NcKoG8iLA6fkdFABWjlK+BKbBZ5Z5MKEFQlsVdQ vGxIt8d5+ydguKgKm+6ASOvDnRchOn24QRAk2n0c7rJ46yJzvIg64shpzjY4aBEh SNGD5DhHiL2BwkMeUalIgLduAmwnkCSkZJUK9DCX/uP6qmxlnlZA7BjnE8rQNMAo reVeWqYhc3CnBM1ICa9KhwO0jpps/ZA23NSahodjq6q5eC/nOFm6AojsLc5dGzht Ql8a/6+MSVsteQtU4wQuO80L3dlwztrNODsSDysp9gOlYMdao0rs9HLUWLJk9asB hNKw0nfY6UaxEzTAJtGWTOj7rbi3Y9U2ecdmyMfPmTEgqeYuA3EO23QtPVEo+ENM OtFgvhH4jhW5dRjPmOMNrrnsGv0QgfDBmNSX6wXmHf5iWy/xxrY0ZcE8Kp7sOoBS XBlLmb3Ko1jnCgjjBBnVOq4vpWra7VO19eBAvieLC8cOwL4Zmkd4vHCToN5mfpfd OgxRDkiHg8ncg8rVhhrHJ/9bROjjV9m3sEdFe5DrjjU68f7rm/M= =5XhP -----END PGP SIGNATURE----- Mon Mar 24 09:34:25 UTC 2025 I: Checking whether the package is not for us Mon Mar 24 09:34:25 UTC 2025 I: Starting 1st build on remote node ionos16-i386.debian.net. Mon Mar 24 09:34:25 UTC 2025 I: Preparing to do remote build '1' on ionos16-i386.debian.net. Mon Mar 24 09:51:09 UTC 2025 I: Deleting $TMPDIR on ionos16-i386.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Sun Apr 26 03:57:27 -12 2026 I: pbuilder-time-stamp: 1777219047 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/unstable-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: using eatmydata during job I: Copying source file I: copying [yosys_0.51-1.dsc] I: copying [./yosys_0.51.orig-abc.tar.gz] I: copying [./yosys_0.51.orig.tar.gz] I: copying [./yosys_0.51-1.debian.tar.xz] I: Extracting source dpkg-source: warning: cannot verify inline signature for ./yosys_0.51-1.dsc: unsupported subcommand dpkg-source: info: extracting yosys in yosys-0.51 dpkg-source: info: unpacking yosys_0.51.orig.tar.gz dpkg-source: info: unpacking yosys_0.51.orig-abc.tar.gz dpkg-source: info: unpacking yosys_0.51-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying abc/remove_bzlib_convenience.patch dpkg-source: info: applying abc/remove_zlib_convenience.patch dpkg-source: info: applying abc/cflags_ldflags.patch dpkg-source: info: applying abc/writepla.patch dpkg-source: info: applying abc/0007-Fix-repro-on-armhf-vs-aarch64.patch dpkg-source: info: applying abc/0007-Remove-build-date-time-reproducibility-hazard.patch dpkg-source: info: applying 0001-Make-tests-runnable-standalone.patch dpkg-source: info: applying 0007-Disable-pretty-build.patch dpkg-source: info: applying 0009-Some-spelling-errors-fixed.patch dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch dpkg-source: info: applying 0011-Do-not-show-g-build-flags-in-Version-string.patch dpkg-source: info: applying 0017-Support-plugin-loading-from-libdir.patch dpkg-source: info: applying 0018-Fix-autotest-compliation.patch dpkg-source: info: applying 0020-autotest-Print-log-on-error.patch dpkg-source: info: applying 0026-Quiet-write-rst-command-ref-manual.patch dpkg-source: info: applying 0027-Use-system-libcxxopts.patch dpkg-source: info: applying 0028-Reproducible-sphinx-pdfs.patch dpkg-source: info: applying 0029-Replace-rsync-with-cp.patch dpkg-source: info: applying 0030-Properly-invalidate-docs-generated-help-on-failure.patch dpkg-source: info: applying 0031-Set-pythonpath-for-usage-docs.patch dpkg-source: info: applying 0032-Remove-image-links-to-github.patch I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/122621/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build/reproducible-path' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='i386' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=22 ' DISTRIBUTION='unstable' HOME='/root' HOST_ARCH='i386' IFS=' ' INVOCATION_ID='b6813787a13d4909958927a791c25f42' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' LD_LIBRARY_PATH='/usr/lib/libeatmydata' LD_PRELOAD='libeatmydata.so' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='122621' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.REwF0RhB/pbuilderrc_AaJG --distribution unstable --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.REwF0RhB/b1 --logfile b1/build.log yosys_0.51-1.dsc' SUDO_GID='112' SUDO_UID='107' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://213.165.73.152:3128' I: uname -a Linux ionos16-i386 6.1.0-32-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.129-1 (2025-03-06) x86_64 GNU/Linux I: ls -l /bin lrwxrwxrwx 1 root root 7 Mar 4 2025 /bin -> usr/bin I: user script /srv/workspace/pbuilder/122621/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: i386 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, libbz2-dev, zlib1g-dev, bison, flex, gawk, git, libffi-dev, pkgconf, txt2man, iverilog (>= 12), python3, python3-setuptools, libcxxopts-dev, gtkwave, texlive-base, texlive-plain-generic, texlive-fonts-recommended, texlive-fonts-extra, texlive-latex-base, texlive-latex-extra, texlive-font-utils, texlive-science, texlive-publishers, texlive-bibtex-extra, tex-gyre, latexmk, lmodern, graphviz, faketime, pdf2svg, python3-sphinx, python3-sphinx-press-theme, python3-sphinxcontrib.bibtex, python3-click dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19793 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on dh-python; however: Package dh-python is not installed. pbuilder-satisfydepends-dummy depends on tcl-dev; however: Package tcl-dev is not installed. pbuilder-satisfydepends-dummy depends on libreadline-dev; however: Package libreadline-dev is not installed. pbuilder-satisfydepends-dummy depends on libbz2-dev; however: Package libbz2-dev is not installed. pbuilder-satisfydepends-dummy depends on zlib1g-dev; however: Package zlib1g-dev is not installed. pbuilder-satisfydepends-dummy depends on bison; however: Package bison is not installed. pbuilder-satisfydepends-dummy depends on flex; however: Package flex is not installed. pbuilder-satisfydepends-dummy depends on gawk; however: Package gawk is not installed. pbuilder-satisfydepends-dummy depends on git; however: Package git is not installed. pbuilder-satisfydepends-dummy depends on libffi-dev; however: Package libffi-dev is not installed. pbuilder-satisfydepends-dummy depends on pkgconf; however: Package pkgconf is not installed. pbuilder-satisfydepends-dummy depends on txt2man; however: Package txt2man is not installed. pbuilder-satisfydepends-dummy depends on iverilog (>= 12); however: Package iverilog is not installed. pbuilder-satisfydepends-dummy depends on python3; however: Package python3 is not installed. pbuilder-satisfydepends-dummy depends on python3-setuptools; however: Package python3-setuptools is not installed. pbuilder-satisfydepends-dummy depends on libcxxopts-dev; however: Package libcxxopts-dev is not installed. pbuilder-satisfydepends-dummy depends on gtkwave; however: Package gtkwave is not installed. pbuilder-satisfydepends-dummy depends on texlive-base; however: Package texlive-base is not installed. pbuilder-satisfydepends-dummy depends on texlive-plain-generic; however: Package texlive-plain-generic is not installed. pbuilder-satisfydepends-dummy depends on texlive-fonts-recommended; however: Package texlive-fonts-recommended is not installed. pbuilder-satisfydepends-dummy depends on texlive-fonts-extra; however: Package texlive-fonts-extra is not installed. pbuilder-satisfydepends-dummy depends on texlive-latex-base; however: Package texlive-latex-base is not installed. pbuilder-satisfydepends-dummy depends on texlive-latex-extra; however: Package texlive-latex-extra is not installed. pbuilder-satisfydepends-dummy depends on texlive-font-utils; however: Package texlive-font-utils is not installed. pbuilder-satisfydepends-dummy depends on texlive-science; however: Package texlive-science is not installed. pbuilder-satisfydepends-dummy depends on texlive-publishers; however: Package texlive-publishers is not installed. pbuilder-satisfydepends-dummy depends on texlive-bibtex-extra; however: Package texlive-bibtex-extra is not installed. pbuilder-satisfydepends-dummy depends on tex-gyre; however: Package tex-gyre is not installed. pbuilder-satisfydepends-dummy depends on latexmk; however: Package latexmk is not installed. pbuilder-satisfydepends-dummy depends on lmodern; however: Package lmodern is not installed. pbuilder-satisfydepends-dummy depends on graphviz; however: Package graphviz is not installed. pbuilder-satisfydepends-dummy depends on faketime; however: Package faketime is not installed. pbuilder-satisfydepends-dummy depends on pdf2svg; however: Package pdf2svg is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinx; however: Package python3-sphinx is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinx-press-theme; however: Package python3-sphinx-press-theme is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinxcontrib.bibtex; however: Package python3-sphinxcontrib.bibtex is not installed. pbuilder-satisfydepends-dummy depends on python3-click; however: Package python3-click is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: adwaita-icon-theme{a} at-spi2-common{a} autoconf{a} automake{a} autopoint{a} autotools-dev{a} bison{a} bsdextrautils{a} ca-certificates{a} dbus{a} dbus-bin{a} dbus-daemon{a} dbus-session-bus-common{a} dbus-system-bus-common{a} dbus-user-session{a} dconf-gsettings-backend{a} dconf-service{a} debhelper{a} dh-autoreconf{a} dh-python{a} dh-strip-nondeterminism{a} dirmngr{a} docutils-common{a} dwz{a} faketime{a} file{a} flex{a} fontconfig{a} fontconfig-config{a} fonts-dejavu-core{a} fonts-dejavu-mono{a} fonts-gfs-baskerville{a} fonts-gfs-porson{a} fonts-lmodern{a} gawk{a} gettext{a} gettext-base{a} git{a} git-man{a} gnupg{a} gnupg-l10n{a} gpg{a} gpg-agent{a} gpgconf{a} gpgsm{a} graphviz{a} groff-base{a} gtk-update-icon-cache{a} gtkwave{a} hicolor-icon-theme{a} intltool-debian{a} iverilog{a} latexmk{a} libabsl20240722{a} libaom3{a} libapache-pom-java{a} libapparmor1{a} libarchive-zip-perl{a} libassuan9{a} libatk-bridge2.0-0t64{a} libatk1.0-0t64{a} libatspi2.0-0t64{a} libavahi-client3{a} libavahi-common-data{a} libavahi-common3{a} libavif16{a} libbibtex-parser-perl{a} libbrotli1{a} libbz2-dev{a} libcairo-gobject2{a} libcairo2{a} libcdt5{a} libcgraph6{a} libcloudproviders0{a} libcolord2{a} libcom-err2{a} libcommons-logging-java{a} libcommons-parent-java{a} libcups2t64{a} libcurl3t64-gnutls{a} libcxxopts-dev{a} libdatrie1{a} libdav1d7{a} libdbus-1-3{a} libdconf1{a} libde265-0{a} libdebhelper-perl{a} libdeflate0{a} libelf1t64{a} libepoxy0{a} liberror-perl{a} libexpat1{a} libfaketime{a} libffi-dev{a} libffi8{a} libfile-stripnondeterminism-perl{a} libfontbox-java{a} libfontconfig1{a} libfontenc1{a} libfreetype6{a} libfribidi0{a} libgav1-1{a} libgcrypt20{a} libgd3{a} libgdk-pixbuf-2.0-0{a} libgdk-pixbuf2.0-common{a} libglib2.0-0t64{a} libgnutls30t64{a} libgpg-error0{a} libgpgme11t64{a} libgpgmepp6t64{a} libgraphite2-3{a} libgssapi-krb5-2{a} libgtk-3-0t64{a} libgtk-3-common{a} libgts-0.7-5t64{a} libgvc6{a} libgvpr2{a} libharfbuzz0b{a} libheif-plugin-dav1d{a} libheif-plugin-libde265{a} libheif1{a} libice6{a} libicu76{a} libidn2-0{a} libimagequant0{a} libio-string-perl{a} libjbig0{a} libjpeg62-turbo{a} libjs-jquery{a} libjs-sphinxdoc{a} libjs-underscore{a} libjson-perl{a} libjudydebian1{a} libk5crypto3{a} libkeyutils1{a} libkpathsea6{a} libkrb5-3{a} libkrb5support0{a} libksba8{a} liblab-gamut1{a} liblatex-tounicode-perl{a} liblcms2-2{a} libldap2{a} liblerc4{a} libltdl7{a} libmagic-mgc{a} libmagic1t64{a} libmpfi0{a} libncurses-dev{a} libncurses6{a} libnghttp2-14{a} libnghttp3-9{a} libngtcp2-16{a} libngtcp2-crypto-gnutls8{a} libnpth0t64{a} libnspr4{a} libnss3{a} libopenjp2-7{a} libp11-kit0{a} libpam-systemd{a} libpango-1.0-0{a} libpangocairo-1.0-0{a} libpangoft2-1.0-0{a} libpaper-utils{a} libpaper2{a} libpathplan4{a} libpdfbox-java{a} libpipeline1{a} libpixman-1-0{a} libpkgconf3{a} libpng16-16t64{a} libpoppler-glib8t64{a} libpoppler147{a} libpotrace0{a} libproc2-0{a} libpsl5t64{a} libptexenc1{a} libpython3-stdlib{a} libpython3.13-minimal{a} libpython3.13-stdlib{a} libraqm0{a} librav1e0.7{a} libreadline-dev{a} libreadline8t64{a} librtmp1{a} libsasl2-2{a} libsasl2-modules-db{a} libsharpyuv0{a} libsigsegv2{a} libsm6{a} libssh2-1t64{a} libsvtav1enc2{a} libsynctex2{a} libsystemd-shared{a} libtasn1-6{a} libtcl8.6{a} libteckit0{a} libtexlua53-5{a} libtext-charwidth-perl{a} libtext-wrapi18n-perl{a} libthai-data{a} libthai0{a} libtiff6{a} libtk8.6{a} libtool{a} libuchardet0{a} libunistring5{a} libwayland-client0{a} libwayland-cursor0{a} libwayland-egl1{a} libwebp7{a} libx11-6{a} libx11-data{a} libxau6{a} libxaw7{a} libxcb-render0{a} libxcb-shm0{a} libxcb1{a} libxcomposite1{a} libxcursor1{a} libxdamage1{a} libxdmcp6{a} libxext6{a} libxfixes3{a} libxft2{a} libxi6{a} libxinerama1{a} libxkbcommon0{a} libxml2{a} libxmu6{a} libxpm4{a} libxrandr2{a} libxrender1{a} libxss1{a} libxt6t64{a} libyaml-0-2{a} libyuv0{a} libzzip-0-13t64{a} lmodern{a} m4{a} man-db{a} media-types{a} netbase{a} openssl{a} pdf2svg{a} pinentry-curses{a} pkgconf{a} pkgconf-bin{a} po-debconf{a} preview-latex-style{a} procps{a} python-babel-localedata{a} python3{a} python3-alabaster{a} python3-autocommand{a} python3-babel{a} python3-certifi{a} python3-chardet{a} python3-charset-normalizer{a} python3-click{a} python3-defusedxml{a} python3-docutils{a} python3-idna{a} python3-imagesize{a} python3-inflect{a} python3-jaraco.context{a} python3-jaraco.functools{a} python3-jaraco.text{a} python3-jinja2{a} python3-latexcodec{a} python3-markupsafe{a} python3-minimal{a} python3-more-itertools{a} python3-packaging{a} python3-pkg-resources{a} python3-pybtex{a} python3-pybtex-docutils{a} python3-pygments{a} python3-requests{a} python3-roman{a} python3-setuptools{a} python3-six{a} python3-snowballstemmer{a} python3-sphinx{a} python3-sphinx-press-theme{a} python3-sphinxcontrib.bibtex{a} python3-typeguard{a} python3-typing-extensions{a} python3-urllib3{a} python3-yaml{a} python3-zipp{a} python3.13{a} python3.13-minimal{a} readline-common{a} sensible-utils{a} sgml-base{a} shared-mime-info{a} sphinx-common{a} systemd{a} systemd-sysv{a} t1utils{a} tcl{a} tcl-dev{a} tcl8.6{a} tcl8.6-dev{a} tex-common{a} tex-gyre{a} texlive-base{a} texlive-bibtex-extra{a} texlive-binaries{a} texlive-font-utils{a} texlive-fonts-extra{a} texlive-fonts-recommended{a} texlive-lang-greek{a} texlive-latex-base{a} texlive-latex-extra{a} texlive-latex-recommended{a} texlive-pictures{a} texlive-plain-generic{a} texlive-publishers{a} texlive-science{a} txt2man{a} tzdata{a} ucf{a} x11-common{a} xdg-utils{a} xfonts-encodings{a} xfonts-utils{a} xkb-data{a} xml-core{a} zlib1g-dev{a} The following packages are RECOMMENDED but will NOT be installed: apvlv at-spi2-core atril bzip2-doc chrony cm-super curl default-jre dvisvgm evince fonts-adf-accanthis fonts-adf-berenis fonts-adf-gillius fonts-adf-universalis fonts-cabin fonts-cantarell fonts-clear-sans fonts-comfortaa fonts-comic-neue fonts-croscore fonts-crosextra-caladea fonts-crosextra-carlito fonts-dejavu-extra fonts-ebgaramond-extra fonts-font-awesome fonts-freefont-otf fonts-freefont-ttf fonts-gfs-artemisia fonts-gfs-complutum fonts-gfs-didot fonts-gfs-neohellenic fonts-gfs-olga fonts-gfs-solomos fonts-go fonts-inter fonts-lato fonts-liberation fonts-linuxlibertine fonts-lobstertwo fonts-noto-color-emoji fonts-noto-core fonts-noto-mono fonts-oflb-asana-math fonts-open-sans fonts-paratype fonts-roboto-slab fonts-roboto-unhinted fonts-sil-andika fonts-sil-charis fonts-sil-gentium fonts-sil-gentium-basic fonts-sil-gentiumplus fonts-sil-gentiumplus-compact fonts-stix fonts-texgyre fonts-texgyre-math ghostscript gnupg-utils gpg-wks-client gpgv gpgv-from-sq gv javascript-common krb5-locales less libarchive-cpio-perl libfile-mimeinfo-perl libfl-dev libgdk-pixbuf2.0-bin libglib2.0-data libgpg-error-l10n libgpm2 libgtk-3-bin libgts-bin libheif-plugin-aomenc libheif-plugin-x265 libjson-xs-perl libkmod2 libldap-common libltdl-dev libmail-sendmail-perl libnet-dbus-perl libnss-systemd librsvg2-common libsasl2-modules libspreadsheet-parseexcel-perl libx11-protocol-perl linux-sysctl-defaults lynx mupdf ntpsec okular openntpd openssh-client papers poppler-data ps2eps psmisc publicsuffix python3-pil ruby systemd-cryptsetup systemd-timesyncd texlive-fonts-extra-links tipa tk viewpdf.app wget x11-utils x11-xserver-utils xdg-user-dirs xpdf zathura-pdf-poppler zathura-ps 0 packages upgraded, 332 newly installed, 0 to remove and 0 not upgraded. Need to get 1080 MB of archives. After unpacking 3033 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian unstable/main i386 libsystemd-shared i386 257.4-3 [2207 kB] Get: 2 http://deb.debian.org/debian unstable/main i386 libapparmor1 i386 4.1.0~beta5-5 [44.6 kB] Get: 3 http://deb.debian.org/debian unstable/main i386 systemd i386 257.4-3 [3086 kB] Get: 4 http://deb.debian.org/debian unstable/main i386 systemd-sysv i386 257.4-3 [61.9 kB] Get: 5 http://deb.debian.org/debian unstable/main i386 libdbus-1-3 i386 1.16.2-2 [191 kB] Get: 6 http://deb.debian.org/debian unstable/main i386 dbus-bin i386 1.16.2-2 [80.4 kB] Get: 7 http://deb.debian.org/debian unstable/main i386 dbus-session-bus-common all 1.16.2-2 [52.3 kB] Get: 8 http://deb.debian.org/debian unstable/main i386 libexpat1 i386 2.7.0-1 [109 kB] Get: 9 http://deb.debian.org/debian unstable/main i386 dbus-daemon i386 1.16.2-2 [169 kB] Get: 10 http://deb.debian.org/debian unstable/main i386 dbus-system-bus-common all 1.16.2-2 [53.5 kB] Get: 11 http://deb.debian.org/debian unstable/main i386 dbus i386 1.16.2-2 [73.6 kB] Get: 12 http://deb.debian.org/debian unstable/main i386 m4 i386 1.4.19-7 [301 kB] Get: 13 http://deb.debian.org/debian unstable/main i386 flex i386 2.6.4-8.2+b4 [412 kB] Get: 14 http://deb.debian.org/debian unstable/main i386 readline-common all 8.2-6 [69.4 kB] Get: 15 http://deb.debian.org/debian unstable/main i386 libreadline8t64 i386 8.2-6 [173 kB] Get: 16 http://deb.debian.org/debian unstable/main i386 libsigsegv2 i386 2.14-1+b2 [34.6 kB] Get: 17 http://deb.debian.org/debian unstable/main i386 gawk i386 1:5.2.1-2+b1 [680 kB] Get: 18 http://deb.debian.org/debian unstable/main i386 libpython3.13-minimal i386 3.13.2-2 [859 kB] Get: 19 http://deb.debian.org/debian unstable/main i386 python3.13-minimal i386 3.13.2-2 [2262 kB] Get: 20 http://deb.debian.org/debian unstable/main i386 python3-minimal i386 3.13.2-2 [27.1 kB] Get: 21 http://deb.debian.org/debian unstable/main i386 media-types all 13.0.0 [29.3 kB] Get: 22 http://deb.debian.org/debian unstable/main i386 netbase all 6.5 [12.4 kB] Get: 23 http://deb.debian.org/debian unstable/main i386 tzdata all 2025b-1 [259 kB] Get: 24 http://deb.debian.org/debian unstable/main i386 libffi8 i386 3.4.7-1 [21.4 kB] Get: 25 http://deb.debian.org/debian unstable/main i386 libpython3.13-stdlib i386 3.13.2-2 [1960 kB] Get: 26 http://deb.debian.org/debian unstable/main i386 python3.13 i386 3.13.2-2 [746 kB] Get: 27 http://deb.debian.org/debian unstable/main i386 libpython3-stdlib i386 3.13.2-2 [10.1 kB] Get: 28 http://deb.debian.org/debian unstable/main i386 python3 i386 3.13.2-2 [28.1 kB] Get: 29 http://deb.debian.org/debian unstable/main i386 sgml-base all 1.31 [15.4 kB] Get: 30 http://deb.debian.org/debian unstable/main i386 libproc2-0 i386 2:4.0.4-7 [66.0 kB] Get: 31 http://deb.debian.org/debian unstable/main i386 procps i386 2:4.0.4-7 [876 kB] Get: 32 http://deb.debian.org/debian unstable/main i386 sensible-utils all 0.0.24 [24.8 kB] Get: 33 http://deb.debian.org/debian unstable/main i386 openssl i386 3.4.1-1 [1432 kB] Get: 34 http://deb.debian.org/debian unstable/main i386 ca-certificates all 20241223 [164 kB] Get: 35 http://deb.debian.org/debian unstable/main i386 libmagic-mgc i386 1:5.46-3 [337 kB] Get: 36 http://deb.debian.org/debian unstable/main i386 libmagic1t64 i386 1:5.46-3 [117 kB] Get: 37 http://deb.debian.org/debian unstable/main i386 file i386 1:5.46-3 [43.4 kB] Get: 38 http://deb.debian.org/debian unstable/main i386 gettext-base i386 0.23.1-1 [245 kB] Get: 39 http://deb.debian.org/debian unstable/main i386 libuchardet0 i386 0.0.8-1+b2 [69.2 kB] Get: 40 http://deb.debian.org/debian unstable/main i386 groff-base i386 1.23.0-7 [1199 kB] Get: 41 http://deb.debian.org/debian unstable/main i386 libpam-systemd i386 257.4-3 [308 kB] Get: 42 http://deb.debian.org/debian unstable/main i386 bsdextrautils i386 2.40.4-5 [96.5 kB] Get: 43 http://deb.debian.org/debian unstable/main i386 libpipeline1 i386 1.5.8-1 [41.2 kB] Get: 44 http://deb.debian.org/debian unstable/main i386 man-db i386 2.13.0-1 [1428 kB] Get: 45 http://deb.debian.org/debian unstable/main i386 libtext-charwidth-perl i386 0.04-11+b4 [9656 B] Get: 46 http://deb.debian.org/debian unstable/main i386 libtext-wrapi18n-perl all 0.06-10 [8808 B] Get: 47 http://deb.debian.org/debian unstable/main i386 ucf all 3.0050 [42.7 kB] Get: 48 http://deb.debian.org/debian unstable/main i386 libgdk-pixbuf2.0-common all 2.42.12+dfsg-2 [311 kB] Get: 49 http://deb.debian.org/debian unstable/main i386 libglib2.0-0t64 i386 2.84.0-2 [1583 kB] Get: 50 http://deb.debian.org/debian unstable/main i386 libicu76 i386 76.1-3 [9893 kB] Get: 51 http://deb.debian.org/debian unstable/main i386 libxml2 i386 2.12.7+dfsg+really2.9.14-0.3+b1 [734 kB] Get: 52 http://deb.debian.org/debian unstable/main i386 shared-mime-info i386 2.4-5+b2 [761 kB] Get: 53 http://deb.debian.org/debian unstable/main i386 libjpeg62-turbo i386 1:2.1.5-3.1 [170 kB] Get: 54 http://deb.debian.org/debian unstable/main i386 libpng16-16t64 i386 1.6.47-1.1 [289 kB] Get: 55 http://deb.debian.org/debian unstable/main i386 libdeflate0 i386 1.23-1+b1 [48.4 kB] Get: 56 http://deb.debian.org/debian unstable/main i386 libjbig0 i386 2.1-6.1+b2 [32.2 kB] Get: 57 http://deb.debian.org/debian unstable/main i386 liblerc4 i386 4.0.0+ds-5 [191 kB] Get: 58 http://deb.debian.org/debian unstable/main i386 libsharpyuv0 i386 1.5.0-0.1 [115 kB] Get: 59 http://deb.debian.org/debian unstable/main i386 libwebp7 i386 1.5.0-0.1 [329 kB] Get: 60 http://deb.debian.org/debian unstable/main i386 libtiff6 i386 4.5.1+git230720-5 [339 kB] Get: 61 http://deb.debian.org/debian unstable/main i386 libgdk-pixbuf-2.0-0 i386 2.42.12+dfsg-2 [148 kB] Get: 62 http://deb.debian.org/debian unstable/main i386 gtk-update-icon-cache i386 4.18.2+ds-1 [51.8 kB] Get: 63 http://deb.debian.org/debian unstable/main i386 hicolor-icon-theme all 0.18-2 [11.8 kB] Get: 64 http://deb.debian.org/debian unstable/main i386 adwaita-icon-theme all 48.0-1 [504 kB] Get: 65 http://deb.debian.org/debian unstable/main i386 at-spi2-common all 2.56.0-3 [171 kB] Get: 66 http://deb.debian.org/debian unstable/main i386 autoconf all 2.72-3 [493 kB] Get: 67 http://deb.debian.org/debian unstable/main i386 autotools-dev all 20240727.1 [60.2 kB] Get: 68 http://deb.debian.org/debian unstable/main i386 automake all 1:1.17-4 [862 kB] Get: 69 http://deb.debian.org/debian unstable/main i386 autopoint all 0.23.1-1 [770 kB] Get: 70 http://deb.debian.org/debian unstable/main i386 bison i386 2:3.8.2+dfsg-1+b2 [1182 kB] Get: 71 http://deb.debian.org/debian unstable/main i386 dbus-user-session i386 1.16.2-2 [52.1 kB] Get: 72 http://deb.debian.org/debian unstable/main i386 libdconf1 i386 0.40.0-5 [43.6 kB] Get: 73 http://deb.debian.org/debian unstable/main i386 dconf-service i386 0.40.0-5 [34.8 kB] Get: 74 http://deb.debian.org/debian unstable/main i386 dconf-gsettings-backend i386 0.40.0-5 [30.6 kB] Get: 75 http://deb.debian.org/debian unstable/main i386 libdebhelper-perl all 13.24.1 [90.9 kB] Get: 76 http://deb.debian.org/debian unstable/main i386 libtool all 2.5.4-4 [539 kB] Get: 77 http://deb.debian.org/debian unstable/main i386 dh-autoreconf all 20 [17.1 kB] Get: 78 http://deb.debian.org/debian unstable/main i386 libarchive-zip-perl all 1.68-1 [104 kB] Get: 79 http://deb.debian.org/debian unstable/main i386 libfile-stripnondeterminism-perl all 1.14.1-2 [19.7 kB] Get: 80 http://deb.debian.org/debian unstable/main i386 dh-strip-nondeterminism all 1.14.1-2 [8620 B] Get: 81 http://deb.debian.org/debian unstable/main i386 libelf1t64 i386 0.192-4 [195 kB] Get: 82 http://deb.debian.org/debian unstable/main i386 dwz i386 0.15-1+b1 [116 kB] Get: 83 http://deb.debian.org/debian unstable/main i386 libunistring5 i386 1.3-2 [471 kB] Get: 84 http://deb.debian.org/debian unstable/main i386 gettext i386 0.23.1-1 [1714 kB] Get: 85 http://deb.debian.org/debian unstable/main i386 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 86 http://deb.debian.org/debian unstable/main i386 po-debconf all 1.0.21+nmu1 [248 kB] Get: 87 http://deb.debian.org/debian unstable/main i386 debhelper all 13.24.1 [920 kB] Get: 88 http://deb.debian.org/debian unstable/main i386 dh-python all 6.20250308 [115 kB] Get: 89 http://deb.debian.org/debian unstable/main i386 libgpg-error0 i386 1.51-4 [87.3 kB] Get: 90 http://deb.debian.org/debian unstable/main i386 libassuan9 i386 3.0.2-2 [63.4 kB] Get: 91 http://deb.debian.org/debian unstable/main i386 libgcrypt20 i386 1.11.0-7 [799 kB] Get: 92 http://deb.debian.org/debian unstable/main i386 gpgconf i386 2.2.46-6 [129 kB] Get: 93 http://deb.debian.org/debian unstable/main i386 libidn2-0 i386 2.3.8-2 [110 kB] Get: 94 http://deb.debian.org/debian unstable/main i386 libp11-kit0 i386 0.25.5-3 [423 kB] Get: 95 http://deb.debian.org/debian unstable/main i386 libtasn1-6 i386 4.20.0-2 [51.6 kB] Get: 96 http://deb.debian.org/debian unstable/main i386 libgnutls30t64 i386 3.8.9-2 [1462 kB] Get: 97 http://deb.debian.org/debian unstable/main i386 libksba8 i386 1.6.7-2+b1 [142 kB] Get: 98 http://deb.debian.org/debian unstable/main i386 libsasl2-modules-db i386 2.1.28+dfsg1-9 [20.8 kB] Get: 99 http://deb.debian.org/debian unstable/main i386 libsasl2-2 i386 2.1.28+dfsg1-9 [61.2 kB] Get: 100 http://deb.debian.org/debian unstable/main i386 libldap2 i386 2.6.9+dfsg-2 [206 kB] Get: 101 http://deb.debian.org/debian unstable/main i386 libnpth0t64 i386 1.8-2 [23.0 kB] Get: 102 http://deb.debian.org/debian unstable/main i386 dirmngr i386 2.2.46-6 [397 kB] Get: 103 http://deb.debian.org/debian unstable/main i386 xml-core all 0.19 [20.1 kB] Get: 104 http://deb.debian.org/debian unstable/main i386 docutils-common all 0.21.2+dfsg-2 [128 kB] Get: 105 http://deb.debian.org/debian unstable/main i386 libfaketime i386 0.9.10+2024-06-05+gba9ed5b2-0.6 [51.1 kB] Get: 106 http://deb.debian.org/debian unstable/main i386 faketime i386 0.9.10+2024-06-05+gba9ed5b2-0.6 [17.4 kB] Get: 107 http://deb.debian.org/debian unstable/main i386 libbrotli1 i386 1.1.0-2+b7 [299 kB] Get: 108 http://deb.debian.org/debian unstable/main i386 libfreetype6 i386 2.13.3+dfsg-1 [464 kB] Get: 109 http://deb.debian.org/debian unstable/main i386 fonts-dejavu-mono all 2.37-8 [489 kB] Get: 110 http://deb.debian.org/debian unstable/main i386 fonts-dejavu-core all 2.37-8 [840 kB] Get: 111 http://deb.debian.org/debian unstable/main i386 fontconfig-config i386 2.15.0-2.2 [318 kB] Get: 112 http://deb.debian.org/debian unstable/main i386 libfontconfig1 i386 2.15.0-2.2 [402 kB] Get: 113 http://deb.debian.org/debian unstable/main i386 fontconfig i386 2.15.0-2.2 [463 kB] Get: 114 http://deb.debian.org/debian unstable/main i386 fonts-gfs-baskerville all 1.1-6 [43.7 kB] Get: 115 http://deb.debian.org/debian unstable/main i386 fonts-gfs-porson all 1.1-7 [33.8 kB] Get: 116 http://deb.debian.org/debian unstable/main i386 fonts-lmodern all 2.005-1 [4540 kB] Get: 117 http://deb.debian.org/debian unstable/main i386 libkrb5support0 i386 1.21.3-5 [35.3 kB] Get: 118 http://deb.debian.org/debian unstable/main i386 libcom-err2 i386 1.47.2-1+b1 [24.6 kB] Get: 119 http://deb.debian.org/debian unstable/main i386 libk5crypto3 i386 1.21.3-5 [84.3 kB] Get: 120 http://deb.debian.org/debian unstable/main i386 libkeyutils1 i386 1.6.3-4 [9600 B] Get: 121 http://deb.debian.org/debian unstable/main i386 libkrb5-3 i386 1.21.3-5 [355 kB] Get: 122 http://deb.debian.org/debian unstable/main i386 libgssapi-krb5-2 i386 1.21.3-5 [149 kB] Get: 123 http://deb.debian.org/debian unstable/main i386 libnghttp2-14 i386 1.64.0-1 [82.4 kB] Get: 124 http://deb.debian.org/debian unstable/main i386 libnghttp3-9 i386 1.8.0-1 [78.7 kB] Get: 125 http://deb.debian.org/debian unstable/main i386 libngtcp2-16 i386 1.11.0-1 [163 kB] Get: 126 http://deb.debian.org/debian unstable/main i386 libngtcp2-crypto-gnutls8 i386 1.11.0-1 [29.9 kB] Get: 127 http://deb.debian.org/debian unstable/main i386 libpsl5t64 i386 0.21.2-1.1+b1 [57.7 kB] Get: 128 http://deb.debian.org/debian unstable/main i386 librtmp1 i386 2.4+20151223.gitfa8646d.1-2+b5 [62.4 kB] Get: 129 http://deb.debian.org/debian unstable/main i386 libssh2-1t64 i386 1.11.1-1 [256 kB] Get: 130 http://deb.debian.org/debian unstable/main i386 libcurl3t64-gnutls i386 8.13.0~rc2-2 [419 kB] Get: 131 http://deb.debian.org/debian unstable/main i386 liberror-perl all 0.17030-1 [26.9 kB] Get: 132 http://deb.debian.org/debian unstable/main i386 git-man all 1:2.49.0-1 [2236 kB] Get: 133 http://deb.debian.org/debian unstable/main i386 git i386 1:2.49.0-1 [9570 kB] Get: 134 http://deb.debian.org/debian unstable/main i386 gnupg-l10n all 2.2.46-6 [702 kB] Get: 135 http://deb.debian.org/debian unstable/main i386 gpg i386 2.2.46-6 [575 kB] Get: 136 http://deb.debian.org/debian unstable/main i386 pinentry-curses i386 1.3.1-2 [88.0 kB] Get: 137 http://deb.debian.org/debian unstable/main i386 gpg-agent i386 2.2.46-6 [270 kB] Get: 138 http://deb.debian.org/debian unstable/main i386 gpgsm i386 2.2.46-6 [276 kB] Get: 139 http://deb.debian.org/debian unstable/main i386 gnupg all 2.2.46-6 [377 kB] Get: 140 http://deb.debian.org/debian unstable/main i386 libcdt5 i386 2.42.4-3 [40.7 kB] Get: 141 http://deb.debian.org/debian unstable/main i386 libcgraph6 i386 2.42.4-3 [67.9 kB] Get: 142 http://deb.debian.org/debian unstable/main i386 libaom3 i386 3.12.0-1 [1903 kB] Get: 143 http://deb.debian.org/debian unstable/main i386 libdav1d7 i386 1.5.1-1 [336 kB] Get: 144 http://deb.debian.org/debian unstable/main i386 libabsl20240722 i386 20240722.0-1.5 [549 kB] Get: 145 http://deb.debian.org/debian unstable/main i386 libgav1-1 i386 0.19.0-3+b1 [331 kB] Get: 146 http://deb.debian.org/debian unstable/main i386 librav1e0.7 i386 0.7.1-9+b1 [675 kB] Get: 147 http://deb.debian.org/debian unstable/main i386 libsvtav1enc2 i386 2.3.0+dfsg-1 [1053 kB] Get: 148 http://deb.debian.org/debian unstable/main i386 libyuv0 i386 0.0.1904.20250204-1 [112 kB] Get: 149 http://deb.debian.org/debian unstable/main i386 libavif16 i386 1.2.1-1 [141 kB] Get: 150 http://deb.debian.org/debian unstable/main i386 libheif-plugin-dav1d i386 1.19.7-1 [12.0 kB] Get: 151 http://deb.debian.org/debian unstable/main i386 libde265-0 i386 1.0.15-1+b3 [198 kB] Get: 152 http://deb.debian.org/debian unstable/main i386 libheif-plugin-libde265 i386 1.19.7-1 [16.0 kB] Get: 153 http://deb.debian.org/debian unstable/main i386 libheif1 i386 1.19.7-1 [545 kB] Get: 154 http://deb.debian.org/debian unstable/main i386 libimagequant0 i386 2.18.0-1+b2 [36.0 kB] Get: 155 http://deb.debian.org/debian unstable/main i386 libfribidi0 i386 1.0.16-1 [27.0 kB] Get: 156 http://deb.debian.org/debian unstable/main i386 libgraphite2-3 i386 1.3.14-2+b1 [77.8 kB] Get: 157 http://deb.debian.org/debian unstable/main i386 libharfbuzz0b i386 10.2.0-1+b1 [505 kB] Get: 158 http://deb.debian.org/debian unstable/main i386 libraqm0 i386 0.10.2-1 [14.6 kB] Get: 159 http://deb.debian.org/debian unstable/main i386 libxau6 i386 1:1.0.11-1 [20.7 kB] Get: 160 http://deb.debian.org/debian unstable/main i386 libxdmcp6 i386 1:1.1.5-1 [28.2 kB] Get: 161 http://deb.debian.org/debian unstable/main i386 libxcb1 i386 1.17.0-2+b1 [148 kB] Get: 162 http://deb.debian.org/debian unstable/main i386 libx11-data all 2:1.8.12-1 [343 kB] Get: 163 http://deb.debian.org/debian unstable/main i386 libx11-6 i386 2:1.8.12-1 [838 kB] Get: 164 http://deb.debian.org/debian unstable/main i386 libxpm4 i386 1:3.5.17-1+b3 [58.3 kB] Get: 165 http://deb.debian.org/debian unstable/main i386 libgd3 i386 2.3.3-12+b1 [131 kB] Get: 166 http://deb.debian.org/debian unstable/main i386 libgts-0.7-5t64 i386 0.7.6+darcs121130-5.2+b1 [160 kB] Get: 167 http://deb.debian.org/debian unstable/main i386 libpixman-1-0 i386 0.44.0-3 [246 kB] Get: 168 http://deb.debian.org/debian unstable/main i386 libxcb-render0 i386 1.17.0-2+b1 [116 kB] Get: 169 http://deb.debian.org/debian unstable/main i386 libxcb-shm0 i386 1.17.0-2+b1 [105 kB] Get: 170 http://deb.debian.org/debian unstable/main i386 libxext6 i386 2:1.3.4-1+b3 [52.5 kB] Get: 171 http://deb.debian.org/debian unstable/main i386 libxrender1 i386 1:0.9.10-1.1+b4 [28.8 kB] Get: 172 http://deb.debian.org/debian unstable/main i386 libcairo2 i386 1.18.4-1+b1 [596 kB] Get: 173 http://deb.debian.org/debian unstable/main i386 libltdl7 i386 2.5.4-4 [417 kB] Get: 174 http://deb.debian.org/debian unstable/main i386 libthai-data all 0.1.29-2 [168 kB] Get: 175 http://deb.debian.org/debian unstable/main i386 libdatrie1 i386 0.2.13-3+b1 [39.9 kB] Get: 176 http://deb.debian.org/debian unstable/main i386 libthai0 i386 0.1.29-2+b1 [50.3 kB] Get: 177 http://deb.debian.org/debian unstable/main i386 libpango-1.0-0 i386 1.56.3-1 [236 kB] Get: 178 http://deb.debian.org/debian unstable/main i386 libpangoft2-1.0-0 i386 1.56.3-1 [59.6 kB] Get: 179 http://deb.debian.org/debian unstable/main i386 libpangocairo-1.0-0 i386 1.56.3-1 [36.8 kB] Get: 180 http://deb.debian.org/debian unstable/main i386 libpathplan4 i386 2.42.4-3 [42.6 kB] Get: 181 http://deb.debian.org/debian unstable/main i386 libgvc6 i386 2.42.4-3 [719 kB] Get: 182 http://deb.debian.org/debian unstable/main i386 libgvpr2 i386 2.42.4-3 [203 kB] Get: 183 http://deb.debian.org/debian unstable/main i386 liblab-gamut1 i386 2.42.4-3 [199 kB] Get: 184 http://deb.debian.org/debian unstable/main i386 x11-common all 1:7.7+24 [217 kB] Get: 185 http://deb.debian.org/debian unstable/main i386 libice6 i386 2:1.1.1-1 [67.8 kB] Get: 186 http://deb.debian.org/debian unstable/main i386 libsm6 i386 2:1.2.4-1 [35.9 kB] Get: 187 http://deb.debian.org/debian unstable/main i386 libxt6t64 i386 1:1.2.1-1.2+b2 [194 kB] Get: 188 http://deb.debian.org/debian unstable/main i386 libxmu6 i386 2:1.1.3-3+b4 [60.8 kB] Get: 189 http://deb.debian.org/debian unstable/main i386 libxaw7 i386 2:1.0.16-1 [220 kB] Get: 190 http://deb.debian.org/debian unstable/main i386 graphviz i386 2.42.4-3 [583 kB] Get: 191 http://deb.debian.org/debian unstable/main i386 libatk1.0-0t64 i386 2.56.0-3 [51.4 kB] Get: 192 http://deb.debian.org/debian unstable/main i386 libxi6 i386 2:1.8.2-1 [81.2 kB] Get: 193 http://deb.debian.org/debian unstable/main i386 libatspi2.0-0t64 i386 2.56.0-3 [84.0 kB] Get: 194 http://deb.debian.org/debian unstable/main i386 libatk-bridge2.0-0t64 i386 2.56.0-3 [72.9 kB] Get: 195 http://deb.debian.org/debian unstable/main i386 libcairo-gobject2 i386 1.18.4-1+b1 [130 kB] Get: 196 http://deb.debian.org/debian unstable/main i386 libcloudproviders0 i386 0.3.6-2 [30.6 kB] Get: 197 http://deb.debian.org/debian unstable/main i386 liblcms2-2 i386 2.16-2 [171 kB] Get: 198 http://deb.debian.org/debian unstable/main i386 libcolord2 i386 1.4.7-3 [146 kB] Get: 199 http://deb.debian.org/debian unstable/main i386 libavahi-common-data i386 0.8-16 [112 kB] Get: 200 http://deb.debian.org/debian unstable/main i386 libavahi-common3 i386 0.8-16 [46.4 kB] Get: 201 http://deb.debian.org/debian unstable/main i386 libavahi-client3 i386 0.8-16 [50.4 kB] Get: 202 http://deb.debian.org/debian unstable/main i386 libcups2t64 i386 2.4.10-2+b1 [267 kB] Get: 203 http://deb.debian.org/debian unstable/main i386 libepoxy0 i386 1.5.10-2 [196 kB] Get: 204 http://deb.debian.org/debian unstable/main i386 libwayland-client0 i386 1.23.1-3 [27.4 kB] Get: 205 http://deb.debian.org/debian unstable/main i386 libwayland-cursor0 i386 1.23.1-3 [12.6 kB] Get: 206 http://deb.debian.org/debian unstable/main i386 libwayland-egl1 i386 1.23.1-3 [5948 B] Get: 207 http://deb.debian.org/debian unstable/main i386 libxcomposite1 i386 1:0.4.6-1 [16.6 kB] Get: 208 http://deb.debian.org/debian unstable/main i386 libxfixes3 i386 1:6.0.0-2+b4 [20.7 kB] Get: 209 http://deb.debian.org/debian unstable/main i386 libxcursor1 i386 1:1.2.3-1 [41.2 kB] Get: 210 http://deb.debian.org/debian unstable/main i386 libxdamage1 i386 1:1.1.6-1+b2 [15.6 kB] Get: 211 http://deb.debian.org/debian unstable/main i386 libxinerama1 i386 2:1.1.4-3+b3 [16.3 kB] Get: 212 http://deb.debian.org/debian unstable/main i386 xkb-data all 2.42-1 [790 kB] Get: 213 http://deb.debian.org/debian unstable/main i386 libxkbcommon0 i386 1.7.0-2 [118 kB] Get: 214 http://deb.debian.org/debian unstable/main i386 libxrandr2 i386 2:1.5.4-1+b3 [37.9 kB] Get: 215 http://deb.debian.org/debian unstable/main i386 libgtk-3-common all 3.24.49-2 [4905 kB] Get: 216 http://deb.debian.org/debian unstable/main i386 libgtk-3-0t64 i386 3.24.49-2 [2935 kB] Get: 217 http://deb.debian.org/debian unstable/main i386 libjudydebian1 i386 1.0.5-5.1 [65.1 kB] Get: 218 http://deb.debian.org/debian unstable/main i386 libtcl8.6 i386 8.6.16+dfsg-1 [1103 kB] Get: 219 http://deb.debian.org/debian unstable/main i386 libxft2 i386 2.3.6-1+b4 [55.3 kB] Get: 220 http://deb.debian.org/debian unstable/main i386 libxss1 i386 1:1.2.3-1+b3 [17.3 kB] Get: 221 http://deb.debian.org/debian unstable/main i386 libtk8.6 i386 8.6.16-1 [834 kB] Get: 222 http://deb.debian.org/debian unstable/main i386 gtkwave i386 3.3.121-1 [2492 kB] Get: 223 http://deb.debian.org/debian unstable/main i386 iverilog i386 12.0-2+b1 [2127 kB] Get: 224 http://deb.debian.org/debian unstable/main i386 tex-common all 6.19 [29.4 kB] Get: 225 http://deb.debian.org/debian unstable/main i386 libpaper2 i386 2.2.5-0.3+b1 [17.1 kB] Get: 226 http://deb.debian.org/debian unstable/main i386 libpaper-utils i386 2.2.5-0.3+b1 [16.4 kB] Get: 227 http://deb.debian.org/debian unstable/main i386 libkpathsea6 i386 2024.20240313.70630+ds-6 [160 kB] Get: 228 http://deb.debian.org/debian unstable/main i386 libptexenc1 i386 2024.20240313.70630+ds-6 [50.2 kB] Get: 229 http://deb.debian.org/debian unstable/main i386 libsynctex2 i386 2024.20240313.70630+ds-6 [65.8 kB] Get: 230 http://deb.debian.org/debian unstable/main i386 libtexlua53-5 i386 2024.20240313.70630+ds-6 [129 kB] Get: 231 http://deb.debian.org/debian unstable/main i386 t1utils i386 1.41-4 [62.3 kB] Get: 232 http://deb.debian.org/debian unstable/main i386 libmpfi0 i386 1.5.4+ds-4 [38.8 kB] Get: 233 http://deb.debian.org/debian unstable/main i386 libpotrace0 i386 1.16-2+b2 [24.5 kB] Get: 234 http://deb.debian.org/debian unstable/main i386 libteckit0 i386 2.5.12+ds1-1+b1 [285 kB] Get: 235 http://deb.debian.org/debian unstable/main i386 libzzip-0-13t64 i386 0.13.78+dfsg.1-0.1 [60.7 kB] Get: 236 http://deb.debian.org/debian unstable/main i386 texlive-binaries i386 2024.20240313.70630+ds-6 [8361 kB] Get: 237 http://deb.debian.org/debian unstable/main i386 xdg-utils all 1.2.1-2 [75.8 kB] Get: 238 http://deb.debian.org/debian unstable/main i386 texlive-base all 2024.20250309-1 [23.1 MB] Get: 239 http://deb.debian.org/debian unstable/main i386 texlive-latex-base all 2024.20250309-1 [1294 kB] Get: 240 http://deb.debian.org/debian unstable/main i386 latexmk all 1:4.86~ds-1 [507 kB] Get: 241 http://deb.debian.org/debian unstable/main i386 libapache-pom-java all 33-2 [5852 B] Get: 242 http://deb.debian.org/debian unstable/main i386 libio-string-perl all 1.08-4 [12.1 kB] Get: 243 http://deb.debian.org/debian unstable/main i386 liblatex-tounicode-perl all 0.55-1 [28.8 kB] Get: 244 http://deb.debian.org/debian unstable/main i386 libbibtex-parser-perl all 1.05+dfsg-1 [17.4 kB] Get: 245 http://deb.debian.org/debian unstable/main i386 libbz2-dev i386 1.0.8-6 [32.1 kB] Get: 246 http://deb.debian.org/debian unstable/main i386 libcommons-parent-java all 56-1 [10.8 kB] Get: 247 http://deb.debian.org/debian unstable/main i386 libcommons-logging-java all 1.3.0-1 [68.6 kB] Get: 248 http://deb.debian.org/debian unstable/main i386 libcxxopts-dev all 3.2.1-1 [22.9 kB] Get: 249 http://deb.debian.org/debian unstable/main i386 libffi-dev i386 3.4.7-1 [58.0 kB] Get: 250 http://deb.debian.org/debian unstable/main i386 libfontbox-java all 1:1.8.16-5 [211 kB] Get: 251 http://deb.debian.org/debian unstable/main i386 libfontenc1 i386 1:1.1.8-1+b2 [23.4 kB] Get: 252 http://deb.debian.org/debian unstable/main i386 libgpgme11t64 i386 1.24.2-1+b1 [351 kB] Get: 253 http://deb.debian.org/debian unstable/main i386 libgpgmepp6t64 i386 1.24.2-1+b1 [352 kB] Get: 254 http://deb.debian.org/debian unstable/main i386 libjs-jquery all 3.6.1+dfsg+~3.5.14-1 [326 kB] Get: 255 http://deb.debian.org/debian unstable/main i386 libjs-underscore all 1.13.4~dfsg+~1.11.4-3 [116 kB] Get: 256 http://deb.debian.org/debian unstable/main i386 libjs-sphinxdoc all 8.1.3-5 [30.5 kB] Get: 257 http://deb.debian.org/debian unstable/main i386 libjson-perl all 4.10000-1 [87.5 kB] Get: 258 http://deb.debian.org/debian unstable/main i386 libncurses6 i386 6.5+20250216-2 [112 kB] Get: 259 http://deb.debian.org/debian unstable/main i386 libncurses-dev i386 6.5+20250216-2 [505 kB] Get: 260 http://deb.debian.org/debian unstable/main i386 libnspr4 i386 2:4.36-1 [119 kB] Get: 261 http://deb.debian.org/debian unstable/main i386 libnss3 i386 2:3.109-1 [1506 kB] Get: 262 http://deb.debian.org/debian unstable/main i386 libopenjp2-7 i386 2.5.3-2 [216 kB] Get: 263 http://deb.debian.org/debian unstable/main i386 libpdfbox-java all 1:1.8.16-5 [5527 kB] Get: 264 http://deb.debian.org/debian unstable/main i386 libpkgconf3 i386 1.8.1-4 [38.4 kB] Get: 265 http://deb.debian.org/debian unstable/main i386 libpoppler147 i386 25.03.0-2 [2092 kB] Get: 266 http://deb.debian.org/debian unstable/main i386 libpoppler-glib8t64 i386 25.03.0-2 [163 kB] Get: 267 http://deb.debian.org/debian unstable/main i386 libreadline-dev i386 8.2-6 [166 kB] Get: 268 http://deb.debian.org/debian unstable/main i386 libyaml-0-2 i386 0.2.5-2 [55.8 kB] Get: 269 http://deb.debian.org/debian unstable/main i386 xfonts-encodings all 1:1.0.4-2.2 [577 kB] Get: 270 http://deb.debian.org/debian unstable/main i386 xfonts-utils i386 1:7.7+7 [95.1 kB] Get: 271 http://deb.debian.org/debian unstable/main i386 lmodern all 2.005-1 [9480 kB] Get: 272 http://deb.debian.org/debian unstable/main i386 pdf2svg i386 0.2.4-1 [8820 B] Get: 273 http://deb.debian.org/debian unstable/main i386 pkgconf-bin i386 1.8.1-4 [30.6 kB] Get: 274 http://deb.debian.org/debian unstable/main i386 pkgconf i386 1.8.1-4 [26.2 kB] Get: 275 http://deb.debian.org/debian unstable/main i386 preview-latex-style all 13.2-1 [350 kB] Get: 276 http://deb.debian.org/debian unstable/main i386 python-babel-localedata all 2.17.0-1 [6050 kB] Get: 277 http://deb.debian.org/debian unstable/main i386 python3-alabaster all 0.7.16-0.1 [27.9 kB] Get: 278 http://deb.debian.org/debian unstable/main i386 python3-autocommand all 2.2.2-3 [13.6 kB] Get: 279 http://deb.debian.org/debian unstable/main i386 python3-babel all 2.17.0-1 [117 kB] Get: 280 http://deb.debian.org/debian unstable/main i386 python3-certifi all 2025.1.31+ds-1 [9652 B] Get: 281 http://deb.debian.org/debian unstable/main i386 python3-chardet all 5.2.0+dfsg-2 [108 kB] Get: 282 http://deb.debian.org/debian unstable/main i386 python3-charset-normalizer i386 3.4.1-1+b1 [127 kB] Get: 283 http://deb.debian.org/debian unstable/main i386 python3-click all 8.2.0+0.really.8.1.8-1 [95.4 kB] Get: 284 http://deb.debian.org/debian unstable/main i386 python3-defusedxml all 0.7.1-3 [43.4 kB] Get: 285 http://deb.debian.org/debian unstable/main i386 python3-roman all 5.0-1 [10.6 kB] Get: 286 http://deb.debian.org/debian unstable/main i386 python3-docutils all 0.21.2+dfsg-2 [403 kB] Get: 287 http://deb.debian.org/debian unstable/main i386 python3-idna all 3.10-1 [42.0 kB] Get: 288 http://deb.debian.org/debian unstable/main i386 python3-imagesize all 1.4.1-1 [6688 B] Get: 289 http://deb.debian.org/debian unstable/main i386 python3-more-itertools all 10.6.0-1 [65.3 kB] Get: 290 http://deb.debian.org/debian unstable/main i386 python3-typing-extensions all 4.12.2-2 [73.0 kB] Get: 291 http://deb.debian.org/debian unstable/main i386 python3-typeguard all 4.4.2-1 [37.3 kB] Get: 292 http://deb.debian.org/debian unstable/main i386 python3-inflect all 7.3.1-2 [32.4 kB] Get: 293 http://deb.debian.org/debian unstable/main i386 python3-jaraco.functools all 4.1.0-1 [12.0 kB] Get: 294 http://deb.debian.org/debian unstable/main i386 python3-pkg-resources all 75.8.0-1 [222 kB] Get: 295 http://deb.debian.org/debian unstable/main i386 python3-jaraco.text all 4.0.0-1 [11.4 kB] Get: 296 http://deb.debian.org/debian unstable/main i386 python3-zipp all 3.21.0-1 [10.6 kB] Get: 297 http://deb.debian.org/debian unstable/main i386 python3-setuptools all 75.8.0-1 [724 kB] Get: 298 http://deb.debian.org/debian unstable/main i386 python3-jaraco.context all 6.0.1-1 [8276 B] Get: 299 http://deb.debian.org/debian unstable/main i386 python3-markupsafe i386 2.1.5-1+b3 [14.0 kB] Get: 300 http://deb.debian.org/debian unstable/main i386 python3-jinja2 all 3.1.5-2 [107 kB] Get: 301 http://deb.debian.org/debian unstable/main i386 python3-latexcodec all 3.0.0-1 [17.5 kB] Get: 302 http://deb.debian.org/debian unstable/main i386 python3-packaging all 24.2-1 [55.3 kB] Get: 303 http://deb.debian.org/debian unstable/main i386 python3-six all 1.17.0-1 [16.5 kB] Get: 304 http://deb.debian.org/debian unstable/main i386 python3-yaml i386 6.0.2-1+b2 [135 kB] Get: 305 http://deb.debian.org/debian unstable/main i386 python3-pybtex all 0.24.0-4 [74.6 kB] Get: 306 http://deb.debian.org/debian unstable/main i386 python3-pybtex-docutils all 1.0.2-1 [8064 B] Get: 307 http://deb.debian.org/debian unstable/main i386 python3-pygments all 2.18.0+dfsg-2 [836 kB] Get: 308 http://deb.debian.org/debian unstable/main i386 python3-urllib3 all 2.3.0-2 [114 kB] Get: 309 http://deb.debian.org/debian unstable/main i386 python3-requests all 2.32.3+dfsg-5 [72.2 kB] Get: 310 http://deb.debian.org/debian unstable/main i386 python3-snowballstemmer all 2.2.0-4 [58.0 kB] Get: 311 http://deb.debian.org/debian unstable/main i386 sphinx-common all 8.1.3-5 [617 kB] Get: 312 http://deb.debian.org/debian unstable/main i386 python3-sphinx all 8.1.3-5 [468 kB] Get: 313 http://deb.debian.org/debian unstable/main i386 python3-sphinx-press-theme all 0.8.0-2 [12.2 kB] Get: 314 http://deb.debian.org/debian unstable/main i386 python3-sphinxcontrib.bibtex all 2.6.2-1 [32.4 kB] Get: 315 http://deb.debian.org/debian unstable/main i386 tcl8.6 i386 8.6.16+dfsg-1 [121 kB] Get: 316 http://deb.debian.org/debian unstable/main i386 tcl i386 8.6.16 [4096 B] Get: 317 http://deb.debian.org/debian unstable/main i386 zlib1g-dev i386 1:1.3.dfsg+really1.3.1-1+b1 [916 kB] Get: 318 http://deb.debian.org/debian unstable/main i386 tcl8.6-dev i386 8.6.16+dfsg-1 [1120 kB] Get: 319 http://deb.debian.org/debian unstable/main i386 tcl-dev i386 8.6.16 [6624 B] Get: 320 http://deb.debian.org/debian unstable/main i386 tex-gyre all 20180621-6 [6209 kB] Get: 321 http://deb.debian.org/debian unstable/main i386 texlive-bibtex-extra all 2024.20250309-2 [79.4 MB] Get: 322 http://deb.debian.org/debian unstable/main i386 texlive-font-utils all 2024.20250309-2 [6985 kB] Get: 323 http://deb.debian.org/debian unstable/main i386 texlive-fonts-extra all 2024.20250309-2 [624 MB] Get: 324 http://deb.debian.org/debian unstable/main i386 texlive-fonts-recommended all 2024.20250309-1 [4989 kB] Get: 325 http://deb.debian.org/debian unstable/main i386 texlive-lang-greek all 2024.20250309-1 [79.2 MB] Get: 326 http://deb.debian.org/debian unstable/main i386 texlive-latex-recommended all 2024.20250309-1 [8745 kB] Get: 327 http://deb.debian.org/debian unstable/main i386 texlive-pictures all 2024.20250309-1 [17.0 MB] Get: 328 http://deb.debian.org/debian unstable/main i386 texlive-latex-extra all 2024.20250309-2 [25.2 MB] Get: 329 http://deb.debian.org/debian unstable/main i386 texlive-plain-generic all 2024.20250309-2 [29.0 MB] Get: 330 http://deb.debian.org/debian unstable/main i386 texlive-publishers all 2024.20250309-2 [22.8 MB] Get: 331 http://deb.debian.org/debian unstable/main i386 texlive-science all 2024.20250309-2 [3937 kB] Get: 332 http://deb.debian.org/debian unstable/main i386 txt2man all 1.7.1-4 [35.4 kB] Fetched 1080 MB in 18s (59.3 MB/s) Preconfiguring packages ... Selecting previously unselected package libsystemd-shared:i386. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19793 files and directories currently installed.) Preparing to unpack .../libsystemd-shared_257.4-3_i386.deb ... Unpacking libsystemd-shared:i386 (257.4-3) ... Selecting previously unselected package libapparmor1:i386. Preparing to unpack .../libapparmor1_4.1.0~beta5-5_i386.deb ... Unpacking libapparmor1:i386 (4.1.0~beta5-5) ... Setting up libsystemd-shared:i386 (257.4-3) ... Selecting previously unselected package systemd. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19806 files and directories currently installed.) Preparing to unpack .../systemd_257.4-3_i386.deb ... Unpacking systemd (257.4-3) ... Setting up libapparmor1:i386 (4.1.0~beta5-5) ... Setting up systemd (257.4-3) ... Created symlink '/etc/systemd/system/getty.target.wants/getty@tty1.service' -> '/usr/lib/systemd/system/getty@.service'. Created symlink '/etc/systemd/system/multi-user.target.wants/remote-fs.target' -> '/usr/lib/systemd/system/remote-fs.target'. Created symlink '/etc/systemd/system/sysinit.target.wants/systemd-pstore.service' -> '/usr/lib/systemd/system/systemd-pstore.service'. Initializing machine ID from random generator. Creating group 'systemd-journal' with GID 999. Creating group 'systemd-network' with GID 998. Creating user 'systemd-network' (systemd Network Management) with UID 998 and GID 998. /usr/lib/tmpfiles.d/legacy.conf:14: Duplicate line for path "/run/lock", ignoring. Selecting previously unselected package systemd-sysv. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 20748 files and directories currently installed.) Preparing to unpack .../00-systemd-sysv_257.4-3_i386.deb ... Unpacking systemd-sysv (257.4-3) ... Selecting previously unselected package libdbus-1-3:i386. Preparing to unpack .../01-libdbus-1-3_1.16.2-2_i386.deb ... Unpacking libdbus-1-3:i386 (1.16.2-2) ... Selecting previously unselected package dbus-bin. Preparing to unpack .../02-dbus-bin_1.16.2-2_i386.deb ... Unpacking dbus-bin (1.16.2-2) ... Selecting previously unselected package dbus-session-bus-common. Preparing to unpack .../03-dbus-session-bus-common_1.16.2-2_all.deb ... Unpacking dbus-session-bus-common (1.16.2-2) ... Selecting previously unselected package libexpat1:i386. Preparing to unpack .../04-libexpat1_2.7.0-1_i386.deb ... Unpacking libexpat1:i386 (2.7.0-1) ... Selecting previously unselected package dbus-daemon. Preparing to unpack .../05-dbus-daemon_1.16.2-2_i386.deb ... Unpacking dbus-daemon (1.16.2-2) ... Selecting previously unselected package dbus-system-bus-common. Preparing to unpack .../06-dbus-system-bus-common_1.16.2-2_all.deb ... Unpacking dbus-system-bus-common (1.16.2-2) ... Selecting previously unselected package dbus. Preparing to unpack .../07-dbus_1.16.2-2_i386.deb ... Unpacking dbus (1.16.2-2) ... Selecting previously unselected package m4. Preparing to unpack .../08-m4_1.4.19-7_i386.deb ... Unpacking m4 (1.4.19-7) ... Selecting previously unselected package flex. Preparing to unpack .../09-flex_2.6.4-8.2+b4_i386.deb ... Unpacking flex (2.6.4-8.2+b4) ... Selecting previously unselected package readline-common. Preparing to unpack .../10-readline-common_8.2-6_all.deb ... Unpacking readline-common (8.2-6) ... Selecting previously unselected package libreadline8t64:i386. Preparing to unpack .../11-libreadline8t64_8.2-6_i386.deb ... Adding 'diversion of /lib/i386-linux-gnu/libhistory.so.8 to /lib/i386-linux-gnu/libhistory.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/i386-linux-gnu/libhistory.so.8.2 to /lib/i386-linux-gnu/libhistory.so.8.2.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/i386-linux-gnu/libreadline.so.8 to /lib/i386-linux-gnu/libreadline.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/i386-linux-gnu/libreadline.so.8.2 to /lib/i386-linux-gnu/libreadline.so.8.2.usr-is-merged by libreadline8t64' Unpacking libreadline8t64:i386 (8.2-6) ... Selecting previously unselected package libsigsegv2:i386. Preparing to unpack .../12-libsigsegv2_2.14-1+b2_i386.deb ... Unpacking libsigsegv2:i386 (2.14-1+b2) ... Setting up readline-common (8.2-6) ... Setting up libreadline8t64:i386 (8.2-6) ... Setting up libsigsegv2:i386 (2.14-1+b2) ... Selecting previously unselected package gawk. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 21075 files and directories currently installed.) Preparing to unpack .../gawk_1%3a5.2.1-2+b1_i386.deb ... Unpacking gawk (1:5.2.1-2+b1) ... Selecting previously unselected package libpython3.13-minimal:i386. Preparing to unpack .../libpython3.13-minimal_3.13.2-2_i386.deb ... Unpacking libpython3.13-minimal:i386 (3.13.2-2) ... Selecting previously unselected package python3.13-minimal. Preparing to unpack .../python3.13-minimal_3.13.2-2_i386.deb ... Unpacking python3.13-minimal (3.13.2-2) ... Setting up libpython3.13-minimal:i386 (3.13.2-2) ... Setting up libexpat1:i386 (2.7.0-1) ... Setting up python3.13-minimal (3.13.2-2) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 21588 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.13.2-2_i386.deb ... Unpacking python3-minimal (3.13.2-2) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_13.0.0_all.deb ... Unpacking media-types (13.0.0) ... Selecting previously unselected package netbase. Preparing to unpack .../2-netbase_6.5_all.deb ... Unpacking netbase (6.5) ... Selecting previously unselected package tzdata. Preparing to unpack .../3-tzdata_2025b-1_all.deb ... Unpacking tzdata (2025b-1) ... Selecting previously unselected package libffi8:i386. Preparing to unpack .../4-libffi8_3.4.7-1_i386.deb ... Unpacking libffi8:i386 (3.4.7-1) ... Selecting previously unselected package libpython3.13-stdlib:i386. Preparing to unpack .../5-libpython3.13-stdlib_3.13.2-2_i386.deb ... Unpacking libpython3.13-stdlib:i386 (3.13.2-2) ... Selecting previously unselected package python3.13. Preparing to unpack .../6-python3.13_3.13.2-2_i386.deb ... Unpacking python3.13 (3.13.2-2) ... Selecting previously unselected package libpython3-stdlib:i386. Preparing to unpack .../7-libpython3-stdlib_3.13.2-2_i386.deb ... Unpacking libpython3-stdlib:i386 (3.13.2-2) ... Setting up python3-minimal (3.13.2-2) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 22567 files and directories currently installed.) Preparing to unpack .../000-python3_3.13.2-2_i386.deb ... Unpacking python3 (3.13.2-2) ... Selecting previously unselected package sgml-base. Preparing to unpack .../001-sgml-base_1.31_all.deb ... Unpacking sgml-base (1.31) ... Selecting previously unselected package libproc2-0:i386. Preparing to unpack .../002-libproc2-0_2%3a4.0.4-7_i386.deb ... Unpacking libproc2-0:i386 (2:4.0.4-7) ... Selecting previously unselected package procps. Preparing to unpack .../003-procps_2%3a4.0.4-7_i386.deb ... Unpacking procps (2:4.0.4-7) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../004-sensible-utils_0.0.24_all.deb ... Unpacking sensible-utils (0.0.24) ... Selecting previously unselected package openssl. Preparing to unpack .../005-openssl_3.4.1-1_i386.deb ... Unpacking openssl (3.4.1-1) ... Selecting previously unselected package ca-certificates. Preparing to unpack .../006-ca-certificates_20241223_all.deb ... Unpacking ca-certificates (20241223) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../007-libmagic-mgc_1%3a5.46-3_i386.deb ... Unpacking libmagic-mgc (1:5.46-3) ... Selecting previously unselected package libmagic1t64:i386. Preparing to unpack .../008-libmagic1t64_1%3a5.46-3_i386.deb ... Unpacking libmagic1t64:i386 (1:5.46-3) ... Selecting previously unselected package file. Preparing to unpack .../009-file_1%3a5.46-3_i386.deb ... Unpacking file (1:5.46-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../010-gettext-base_0.23.1-1_i386.deb ... Unpacking gettext-base (0.23.1-1) ... Selecting previously unselected package libuchardet0:i386. Preparing to unpack .../011-libuchardet0_0.0.8-1+b2_i386.deb ... Unpacking libuchardet0:i386 (0.0.8-1+b2) ... Selecting previously unselected package groff-base. Preparing to unpack .../012-groff-base_1.23.0-7_i386.deb ... Unpacking groff-base (1.23.0-7) ... Selecting previously unselected package libpam-systemd:i386. Preparing to unpack .../013-libpam-systemd_257.4-3_i386.deb ... Unpacking libpam-systemd:i386 (257.4-3) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../014-bsdextrautils_2.40.4-5_i386.deb ... Unpacking bsdextrautils (2.40.4-5) ... Selecting previously unselected package libpipeline1:i386. Preparing to unpack .../015-libpipeline1_1.5.8-1_i386.deb ... Unpacking libpipeline1:i386 (1.5.8-1) ... Selecting previously unselected package man-db. Preparing to unpack .../016-man-db_2.13.0-1_i386.deb ... Unpacking man-db (2.13.0-1) ... Selecting previously unselected package libtext-charwidth-perl:i386. Preparing to unpack .../017-libtext-charwidth-perl_0.04-11+b4_i386.deb ... Unpacking libtext-charwidth-perl:i386 (0.04-11+b4) ... Selecting previously unselected package libtext-wrapi18n-perl. Preparing to unpack .../018-libtext-wrapi18n-perl_0.06-10_all.deb ... Unpacking libtext-wrapi18n-perl (0.06-10) ... Selecting previously unselected package ucf. Preparing to unpack .../019-ucf_3.0050_all.deb ... Moving old data out of the way Unpacking ucf (3.0050) ... Selecting previously unselected package libgdk-pixbuf2.0-common. Preparing to unpack .../020-libgdk-pixbuf2.0-common_2.42.12+dfsg-2_all.deb ... Unpacking libgdk-pixbuf2.0-common (2.42.12+dfsg-2) ... Selecting previously unselected package libglib2.0-0t64:i386. Preparing to unpack .../021-libglib2.0-0t64_2.84.0-2_i386.deb ... Unpacking libglib2.0-0t64:i386 (2.84.0-2) ... Selecting previously unselected package libicu76:i386. Preparing to unpack .../022-libicu76_76.1-3_i386.deb ... Unpacking libicu76:i386 (76.1-3) ... Selecting previously unselected package libxml2:i386. Preparing to unpack .../023-libxml2_2.12.7+dfsg+really2.9.14-0.3+b1_i386.deb ... Unpacking libxml2:i386 (2.12.7+dfsg+really2.9.14-0.3+b1) ... Selecting previously unselected package shared-mime-info. Preparing to unpack .../024-shared-mime-info_2.4-5+b2_i386.deb ... Unpacking shared-mime-info (2.4-5+b2) ... Selecting previously unselected package libjpeg62-turbo:i386. Preparing to unpack .../025-libjpeg62-turbo_1%3a2.1.5-3.1_i386.deb ... Unpacking libjpeg62-turbo:i386 (1:2.1.5-3.1) ... Selecting previously unselected package libpng16-16t64:i386. Preparing to unpack .../026-libpng16-16t64_1.6.47-1.1_i386.deb ... Unpacking libpng16-16t64:i386 (1.6.47-1.1) ... Selecting previously unselected package libdeflate0:i386. Preparing to unpack .../027-libdeflate0_1.23-1+b1_i386.deb ... Unpacking libdeflate0:i386 (1.23-1+b1) ... Selecting previously unselected package libjbig0:i386. Preparing to unpack .../028-libjbig0_2.1-6.1+b2_i386.deb ... Unpacking libjbig0:i386 (2.1-6.1+b2) ... Selecting previously unselected package liblerc4:i386. Preparing to unpack .../029-liblerc4_4.0.0+ds-5_i386.deb ... Unpacking liblerc4:i386 (4.0.0+ds-5) ... Selecting previously unselected package libsharpyuv0:i386. Preparing to unpack .../030-libsharpyuv0_1.5.0-0.1_i386.deb ... Unpacking libsharpyuv0:i386 (1.5.0-0.1) ... Selecting previously unselected package libwebp7:i386. Preparing to unpack .../031-libwebp7_1.5.0-0.1_i386.deb ... Unpacking libwebp7:i386 (1.5.0-0.1) ... Selecting previously unselected package libtiff6:i386. Preparing to unpack .../032-libtiff6_4.5.1+git230720-5_i386.deb ... Unpacking libtiff6:i386 (4.5.1+git230720-5) ... Selecting previously unselected package libgdk-pixbuf-2.0-0:i386. Preparing to unpack .../033-libgdk-pixbuf-2.0-0_2.42.12+dfsg-2_i386.deb ... Unpacking libgdk-pixbuf-2.0-0:i386 (2.42.12+dfsg-2) ... Selecting previously unselected package gtk-update-icon-cache. Preparing to unpack .../034-gtk-update-icon-cache_4.18.2+ds-1_i386.deb ... No diversion 'diversion of /usr/sbin/update-icon-caches to /usr/sbin/update-icon-caches.gtk2 by libgtk-3-bin', none removed. No diversion 'diversion of /usr/share/man/man8/update-icon-caches.8.gz to /usr/share/man/man8/update-icon-caches.gtk2.8.gz by libgtk-3-bin', none removed. Unpacking gtk-update-icon-cache (4.18.2+ds-1) ... Selecting previously unselected package hicolor-icon-theme. Preparing to unpack .../035-hicolor-icon-theme_0.18-2_all.deb ... Unpacking hicolor-icon-theme (0.18-2) ... Selecting previously unselected package adwaita-icon-theme. Preparing to unpack .../036-adwaita-icon-theme_48.0-1_all.deb ... Unpacking adwaita-icon-theme (48.0-1) ... Selecting previously unselected package at-spi2-common. Preparing to unpack .../037-at-spi2-common_2.56.0-3_all.deb ... Unpacking at-spi2-common (2.56.0-3) ... Selecting previously unselected package autoconf. Preparing to unpack .../038-autoconf_2.72-3_all.deb ... Unpacking autoconf (2.72-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../039-autotools-dev_20240727.1_all.deb ... Unpacking autotools-dev (20240727.1) ... Selecting previously unselected package automake. Preparing to unpack .../040-automake_1%3a1.17-4_all.deb ... Unpacking automake (1:1.17-4) ... Selecting previously unselected package autopoint. Preparing to unpack .../041-autopoint_0.23.1-1_all.deb ... Unpacking autopoint (0.23.1-1) ... Selecting previously unselected package bison. Preparing to unpack .../042-bison_2%3a3.8.2+dfsg-1+b2_i386.deb ... Unpacking bison (2:3.8.2+dfsg-1+b2) ... Selecting previously unselected package dbus-user-session. Preparing to unpack .../043-dbus-user-session_1.16.2-2_i386.deb ... Unpacking dbus-user-session (1.16.2-2) ... Selecting previously unselected package libdconf1:i386. Preparing to unpack .../044-libdconf1_0.40.0-5_i386.deb ... Unpacking libdconf1:i386 (0.40.0-5) ... Selecting previously unselected package dconf-service. Preparing to unpack .../045-dconf-service_0.40.0-5_i386.deb ... Unpacking dconf-service (0.40.0-5) ... Selecting previously unselected package dconf-gsettings-backend:i386. Preparing to unpack .../046-dconf-gsettings-backend_0.40.0-5_i386.deb ... Unpacking dconf-gsettings-backend:i386 (0.40.0-5) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../047-libdebhelper-perl_13.24.1_all.deb ... Unpacking libdebhelper-perl (13.24.1) ... Selecting previously unselected package libtool. Preparing to unpack .../048-libtool_2.5.4-4_all.deb ... Unpacking libtool (2.5.4-4) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../049-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../050-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../051-libfile-stripnondeterminism-perl_1.14.1-2_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.14.1-2) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../052-dh-strip-nondeterminism_1.14.1-2_all.deb ... Unpacking dh-strip-nondeterminism (1.14.1-2) ... Selecting previously unselected package libelf1t64:i386. Preparing to unpack .../053-libelf1t64_0.192-4_i386.deb ... Unpacking libelf1t64:i386 (0.192-4) ... Selecting previously unselected package dwz. Preparing to unpack .../054-dwz_0.15-1+b1_i386.deb ... Unpacking dwz (0.15-1+b1) ... Selecting previously unselected package libunistring5:i386. Preparing to unpack .../055-libunistring5_1.3-2_i386.deb ... Unpacking libunistring5:i386 (1.3-2) ... Selecting previously unselected package gettext. Preparing to unpack .../056-gettext_0.23.1-1_i386.deb ... Unpacking gettext (0.23.1-1) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../057-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../058-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../059-debhelper_13.24.1_all.deb ... Unpacking debhelper (13.24.1) ... Selecting previously unselected package dh-python. Preparing to unpack .../060-dh-python_6.20250308_all.deb ... Unpacking dh-python (6.20250308) ... Selecting previously unselected package libgpg-error0:i386. Preparing to unpack .../061-libgpg-error0_1.51-4_i386.deb ... Unpacking libgpg-error0:i386 (1.51-4) ... Selecting previously unselected package libassuan9:i386. Preparing to unpack .../062-libassuan9_3.0.2-2_i386.deb ... Unpacking libassuan9:i386 (3.0.2-2) ... Selecting previously unselected package libgcrypt20:i386. Preparing to unpack .../063-libgcrypt20_1.11.0-7_i386.deb ... Unpacking libgcrypt20:i386 (1.11.0-7) ... Selecting previously unselected package gpgconf. Preparing to unpack .../064-gpgconf_2.2.46-6_i386.deb ... Unpacking gpgconf (2.2.46-6) ... Selecting previously unselected package libidn2-0:i386. Preparing to unpack .../065-libidn2-0_2.3.8-2_i386.deb ... Unpacking libidn2-0:i386 (2.3.8-2) ... Selecting previously unselected package libp11-kit0:i386. Preparing to unpack .../066-libp11-kit0_0.25.5-3_i386.deb ... Unpacking libp11-kit0:i386 (0.25.5-3) ... Selecting previously unselected package libtasn1-6:i386. Preparing to unpack .../067-libtasn1-6_4.20.0-2_i386.deb ... Unpacking libtasn1-6:i386 (4.20.0-2) ... Selecting previously unselected package libgnutls30t64:i386. Preparing to unpack .../068-libgnutls30t64_3.8.9-2_i386.deb ... Unpacking libgnutls30t64:i386 (3.8.9-2) ... Selecting previously unselected package libksba8:i386. Preparing to unpack .../069-libksba8_1.6.7-2+b1_i386.deb ... Unpacking libksba8:i386 (1.6.7-2+b1) ... Selecting previously unselected package libsasl2-modules-db:i386. Preparing to unpack .../070-libsasl2-modules-db_2.1.28+dfsg1-9_i386.deb ... Unpacking libsasl2-modules-db:i386 (2.1.28+dfsg1-9) ... Selecting previously unselected package libsasl2-2:i386. Preparing to unpack .../071-libsasl2-2_2.1.28+dfsg1-9_i386.deb ... Unpacking libsasl2-2:i386 (2.1.28+dfsg1-9) ... Selecting previously unselected package libldap2:i386. Preparing to unpack .../072-libldap2_2.6.9+dfsg-2_i386.deb ... Unpacking libldap2:i386 (2.6.9+dfsg-2) ... Selecting previously unselected package libnpth0t64:i386. Preparing to unpack .../073-libnpth0t64_1.8-2_i386.deb ... Unpacking libnpth0t64:i386 (1.8-2) ... Selecting previously unselected package dirmngr. Preparing to unpack .../074-dirmngr_2.2.46-6_i386.deb ... Unpacking dirmngr (2.2.46-6) ... Selecting previously unselected package xml-core. Preparing to unpack .../075-xml-core_0.19_all.deb ... Unpacking xml-core (0.19) ... Selecting previously unselected package docutils-common. Preparing to unpack .../076-docutils-common_0.21.2+dfsg-2_all.deb ... Unpacking docutils-common (0.21.2+dfsg-2) ... Selecting previously unselected package libfaketime:i386. Preparing to unpack .../077-libfaketime_0.9.10+2024-06-05+gba9ed5b2-0.6_i386.deb ... Unpacking libfaketime:i386 (0.9.10+2024-06-05+gba9ed5b2-0.6) ... Selecting previously unselected package faketime. Preparing to unpack .../078-faketime_0.9.10+2024-06-05+gba9ed5b2-0.6_i386.deb ... Unpacking faketime (0.9.10+2024-06-05+gba9ed5b2-0.6) ... Selecting previously unselected package libbrotli1:i386. Preparing to unpack .../079-libbrotli1_1.1.0-2+b7_i386.deb ... Unpacking libbrotli1:i386 (1.1.0-2+b7) ... Selecting previously unselected package libfreetype6:i386. Preparing to unpack .../080-libfreetype6_2.13.3+dfsg-1_i386.deb ... Unpacking libfreetype6:i386 (2.13.3+dfsg-1) ... Selecting previously unselected package fonts-dejavu-mono. Preparing to unpack .../081-fonts-dejavu-mono_2.37-8_all.deb ... Unpacking fonts-dejavu-mono (2.37-8) ... Selecting previously unselected package fonts-dejavu-core. Preparing to unpack .../082-fonts-dejavu-core_2.37-8_all.deb ... Unpacking fonts-dejavu-core (2.37-8) ... Selecting previously unselected package fontconfig-config. Preparing to unpack .../083-fontconfig-config_2.15.0-2.2_i386.deb ... Unpacking fontconfig-config (2.15.0-2.2) ... Selecting previously unselected package libfontconfig1:i386. Preparing to unpack .../084-libfontconfig1_2.15.0-2.2_i386.deb ... Unpacking libfontconfig1:i386 (2.15.0-2.2) ... Selecting previously unselected package fontconfig. Preparing to unpack .../085-fontconfig_2.15.0-2.2_i386.deb ... Unpacking fontconfig (2.15.0-2.2) ... Selecting previously unselected package fonts-gfs-baskerville. Preparing to unpack .../086-fonts-gfs-baskerville_1.1-6_all.deb ... Unpacking fonts-gfs-baskerville (1.1-6) ... Selecting previously unselected package fonts-gfs-porson. Preparing to unpack .../087-fonts-gfs-porson_1.1-7_all.deb ... Unpacking fonts-gfs-porson (1.1-7) ... Selecting previously unselected package fonts-lmodern. Preparing to unpack .../088-fonts-lmodern_2.005-1_all.deb ... Unpacking fonts-lmodern (2.005-1) ... Selecting previously unselected package libkrb5support0:i386. Preparing to unpack .../089-libkrb5support0_1.21.3-5_i386.deb ... Unpacking libkrb5support0:i386 (1.21.3-5) ... Selecting previously unselected package libcom-err2:i386. Preparing to unpack .../090-libcom-err2_1.47.2-1+b1_i386.deb ... Unpacking libcom-err2:i386 (1.47.2-1+b1) ... Selecting previously unselected package libk5crypto3:i386. Preparing to unpack .../091-libk5crypto3_1.21.3-5_i386.deb ... Unpacking libk5crypto3:i386 (1.21.3-5) ... Selecting previously unselected package libkeyutils1:i386. Preparing to unpack .../092-libkeyutils1_1.6.3-4_i386.deb ... Unpacking libkeyutils1:i386 (1.6.3-4) ... Selecting previously unselected package libkrb5-3:i386. Preparing to unpack .../093-libkrb5-3_1.21.3-5_i386.deb ... Unpacking libkrb5-3:i386 (1.21.3-5) ... Selecting previously unselected package libgssapi-krb5-2:i386. Preparing to unpack .../094-libgssapi-krb5-2_1.21.3-5_i386.deb ... Unpacking libgssapi-krb5-2:i386 (1.21.3-5) ... Selecting previously unselected package libnghttp2-14:i386. Preparing to unpack .../095-libnghttp2-14_1.64.0-1_i386.deb ... Unpacking libnghttp2-14:i386 (1.64.0-1) ... Selecting previously unselected package libnghttp3-9:i386. Preparing to unpack .../096-libnghttp3-9_1.8.0-1_i386.deb ... Unpacking libnghttp3-9:i386 (1.8.0-1) ... Selecting previously unselected package libngtcp2-16:i386. Preparing to unpack .../097-libngtcp2-16_1.11.0-1_i386.deb ... Unpacking libngtcp2-16:i386 (1.11.0-1) ... Selecting previously unselected package libngtcp2-crypto-gnutls8:i386. Preparing to unpack .../098-libngtcp2-crypto-gnutls8_1.11.0-1_i386.deb ... Unpacking libngtcp2-crypto-gnutls8:i386 (1.11.0-1) ... Selecting previously unselected package libpsl5t64:i386. Preparing to unpack .../099-libpsl5t64_0.21.2-1.1+b1_i386.deb ... Unpacking libpsl5t64:i386 (0.21.2-1.1+b1) ... Selecting previously unselected package librtmp1:i386. Preparing to unpack .../100-librtmp1_2.4+20151223.gitfa8646d.1-2+b5_i386.deb ... Unpacking librtmp1:i386 (2.4+20151223.gitfa8646d.1-2+b5) ... Selecting previously unselected package libssh2-1t64:i386. Preparing to unpack .../101-libssh2-1t64_1.11.1-1_i386.deb ... Unpacking libssh2-1t64:i386 (1.11.1-1) ... Selecting previously unselected package libcurl3t64-gnutls:i386. Preparing to unpack .../102-libcurl3t64-gnutls_8.13.0~rc2-2_i386.deb ... Unpacking libcurl3t64-gnutls:i386 (8.13.0~rc2-2) ... Selecting previously unselected package liberror-perl. Preparing to unpack .../103-liberror-perl_0.17030-1_all.deb ... Unpacking liberror-perl (0.17030-1) ... Selecting previously unselected package git-man. Preparing to unpack .../104-git-man_1%3a2.49.0-1_all.deb ... Unpacking git-man (1:2.49.0-1) ... Selecting previously unselected package git. Preparing to unpack .../105-git_1%3a2.49.0-1_i386.deb ... Unpacking git (1:2.49.0-1) ... Selecting previously unselected package gnupg-l10n. Preparing to unpack .../106-gnupg-l10n_2.2.46-6_all.deb ... Unpacking gnupg-l10n (2.2.46-6) ... Selecting previously unselected package gpg. Preparing to unpack .../107-gpg_2.2.46-6_i386.deb ... Unpacking gpg (2.2.46-6) ... Selecting previously unselected package pinentry-curses. Preparing to unpack .../108-pinentry-curses_1.3.1-2_i386.deb ... Unpacking pinentry-curses (1.3.1-2) ... Selecting previously unselected package gpg-agent. Preparing to unpack .../109-gpg-agent_2.2.46-6_i386.deb ... Unpacking gpg-agent (2.2.46-6) ... Selecting previously unselected package gpgsm. Preparing to unpack .../110-gpgsm_2.2.46-6_i386.deb ... Unpacking gpgsm (2.2.46-6) ... Selecting previously unselected package gnupg. Preparing to unpack .../111-gnupg_2.2.46-6_all.deb ... Unpacking gnupg (2.2.46-6) ... Selecting previously unselected package libcdt5:i386. Preparing to unpack .../112-libcdt5_2.42.4-3_i386.deb ... Unpacking libcdt5:i386 (2.42.4-3) ... Selecting previously unselected package libcgraph6:i386. Preparing to unpack .../113-libcgraph6_2.42.4-3_i386.deb ... Unpacking libcgraph6:i386 (2.42.4-3) ... Selecting previously unselected package libaom3:i386. Preparing to unpack .../114-libaom3_3.12.0-1_i386.deb ... Unpacking libaom3:i386 (3.12.0-1) ... Selecting previously unselected package libdav1d7:i386. Preparing to unpack .../115-libdav1d7_1.5.1-1_i386.deb ... Unpacking libdav1d7:i386 (1.5.1-1) ... Selecting previously unselected package libabsl20240722:i386. Preparing to unpack .../116-libabsl20240722_20240722.0-1.5_i386.deb ... Unpacking libabsl20240722:i386 (20240722.0-1.5) ... Selecting previously unselected package libgav1-1:i386. Preparing to unpack .../117-libgav1-1_0.19.0-3+b1_i386.deb ... Unpacking libgav1-1:i386 (0.19.0-3+b1) ... Selecting previously unselected package librav1e0.7:i386. Preparing to unpack .../118-librav1e0.7_0.7.1-9+b1_i386.deb ... Unpacking librav1e0.7:i386 (0.7.1-9+b1) ... Selecting previously unselected package libsvtav1enc2:i386. Preparing to unpack .../119-libsvtav1enc2_2.3.0+dfsg-1_i386.deb ... Unpacking libsvtav1enc2:i386 (2.3.0+dfsg-1) ... Selecting previously unselected package libyuv0:i386. Preparing to unpack .../120-libyuv0_0.0.1904.20250204-1_i386.deb ... Unpacking libyuv0:i386 (0.0.1904.20250204-1) ... Selecting previously unselected package libavif16:i386. Preparing to unpack .../121-libavif16_1.2.1-1_i386.deb ... Unpacking libavif16:i386 (1.2.1-1) ... Selecting previously unselected package libheif-plugin-dav1d:i386. Preparing to unpack .../122-libheif-plugin-dav1d_1.19.7-1_i386.deb ... Unpacking libheif-plugin-dav1d:i386 (1.19.7-1) ... Selecting previously unselected package libde265-0:i386. Preparing to unpack .../123-libde265-0_1.0.15-1+b3_i386.deb ... Unpacking libde265-0:i386 (1.0.15-1+b3) ... Selecting previously unselected package libheif-plugin-libde265:i386. Preparing to unpack .../124-libheif-plugin-libde265_1.19.7-1_i386.deb ... Unpacking libheif-plugin-libde265:i386 (1.19.7-1) ... Selecting previously unselected package libheif1:i386. Preparing to unpack .../125-libheif1_1.19.7-1_i386.deb ... Unpacking libheif1:i386 (1.19.7-1) ... Selecting previously unselected package libimagequant0:i386. Preparing to unpack .../126-libimagequant0_2.18.0-1+b2_i386.deb ... Unpacking libimagequant0:i386 (2.18.0-1+b2) ... Selecting previously unselected package libfribidi0:i386. Preparing to unpack .../127-libfribidi0_1.0.16-1_i386.deb ... Unpacking libfribidi0:i386 (1.0.16-1) ... Selecting previously unselected package libgraphite2-3:i386. Preparing to unpack .../128-libgraphite2-3_1.3.14-2+b1_i386.deb ... Unpacking libgraphite2-3:i386 (1.3.14-2+b1) ... Selecting previously unselected package libharfbuzz0b:i386. Preparing to unpack .../129-libharfbuzz0b_10.2.0-1+b1_i386.deb ... Unpacking libharfbuzz0b:i386 (10.2.0-1+b1) ... Selecting previously unselected package libraqm0:i386. Preparing to unpack .../130-libraqm0_0.10.2-1_i386.deb ... Unpacking libraqm0:i386 (0.10.2-1) ... Selecting previously unselected package libxau6:i386. Preparing to unpack .../131-libxau6_1%3a1.0.11-1_i386.deb ... Unpacking libxau6:i386 (1:1.0.11-1) ... Selecting previously unselected package libxdmcp6:i386. Preparing to unpack .../132-libxdmcp6_1%3a1.1.5-1_i386.deb ... Unpacking libxdmcp6:i386 (1:1.1.5-1) ... Selecting previously unselected package libxcb1:i386. Preparing to unpack .../133-libxcb1_1.17.0-2+b1_i386.deb ... Unpacking libxcb1:i386 (1.17.0-2+b1) ... Selecting previously unselected package libx11-data. Preparing to unpack .../134-libx11-data_2%3a1.8.12-1_all.deb ... Unpacking libx11-data (2:1.8.12-1) ... Selecting previously unselected package libx11-6:i386. Preparing to unpack .../135-libx11-6_2%3a1.8.12-1_i386.deb ... Unpacking libx11-6:i386 (2:1.8.12-1) ... Selecting previously unselected package libxpm4:i386. Preparing to unpack .../136-libxpm4_1%3a3.5.17-1+b3_i386.deb ... Unpacking libxpm4:i386 (1:3.5.17-1+b3) ... Selecting previously unselected package libgd3:i386. Preparing to unpack .../137-libgd3_2.3.3-12+b1_i386.deb ... Unpacking libgd3:i386 (2.3.3-12+b1) ... Selecting previously unselected package libgts-0.7-5t64:i386. Preparing to unpack .../138-libgts-0.7-5t64_0.7.6+darcs121130-5.2+b1_i386.deb ... Unpacking libgts-0.7-5t64:i386 (0.7.6+darcs121130-5.2+b1) ... Selecting previously unselected package libpixman-1-0:i386. Preparing to unpack .../139-libpixman-1-0_0.44.0-3_i386.deb ... Unpacking libpixman-1-0:i386 (0.44.0-3) ... Selecting previously unselected package libxcb-render0:i386. Preparing to unpack .../140-libxcb-render0_1.17.0-2+b1_i386.deb ... Unpacking libxcb-render0:i386 (1.17.0-2+b1) ... Selecting previously unselected package libxcb-shm0:i386. Preparing to unpack .../141-libxcb-shm0_1.17.0-2+b1_i386.deb ... Unpacking libxcb-shm0:i386 (1.17.0-2+b1) ... Selecting previously unselected package libxext6:i386. Preparing to unpack .../142-libxext6_2%3a1.3.4-1+b3_i386.deb ... Unpacking libxext6:i386 (2:1.3.4-1+b3) ... Selecting previously unselected package libxrender1:i386. Preparing to unpack .../143-libxrender1_1%3a0.9.10-1.1+b4_i386.deb ... Unpacking libxrender1:i386 (1:0.9.10-1.1+b4) ... Selecting previously unselected package libcairo2:i386. Preparing to unpack .../144-libcairo2_1.18.4-1+b1_i386.deb ... Unpacking libcairo2:i386 (1.18.4-1+b1) ... Selecting previously unselected package libltdl7:i386. Preparing to unpack .../145-libltdl7_2.5.4-4_i386.deb ... Unpacking libltdl7:i386 (2.5.4-4) ... Selecting previously unselected package libthai-data. Preparing to unpack .../146-libthai-data_0.1.29-2_all.deb ... Unpacking libthai-data (0.1.29-2) ... Selecting previously unselected package libdatrie1:i386. Preparing to unpack .../147-libdatrie1_0.2.13-3+b1_i386.deb ... Unpacking libdatrie1:i386 (0.2.13-3+b1) ... Selecting previously unselected package libthai0:i386. Preparing to unpack .../148-libthai0_0.1.29-2+b1_i386.deb ... Unpacking libthai0:i386 (0.1.29-2+b1) ... Selecting previously unselected package libpango-1.0-0:i386. Preparing to unpack .../149-libpango-1.0-0_1.56.3-1_i386.deb ... Unpacking libpango-1.0-0:i386 (1.56.3-1) ... Selecting previously unselected package libpangoft2-1.0-0:i386. Preparing to unpack .../150-libpangoft2-1.0-0_1.56.3-1_i386.deb ... Unpacking libpangoft2-1.0-0:i386 (1.56.3-1) ... Selecting previously unselected package libpangocairo-1.0-0:i386. Preparing to unpack .../151-libpangocairo-1.0-0_1.56.3-1_i386.deb ... Unpacking libpangocairo-1.0-0:i386 (1.56.3-1) ... Selecting previously unselected package libpathplan4:i386. Preparing to unpack .../152-libpathplan4_2.42.4-3_i386.deb ... Unpacking libpathplan4:i386 (2.42.4-3) ... Selecting previously unselected package libgvc6. Preparing to unpack .../153-libgvc6_2.42.4-3_i386.deb ... Unpacking libgvc6 (2.42.4-3) ... Selecting previously unselected package libgvpr2:i386. Preparing to unpack .../154-libgvpr2_2.42.4-3_i386.deb ... Unpacking libgvpr2:i386 (2.42.4-3) ... Selecting previously unselected package liblab-gamut1:i386. Preparing to unpack .../155-liblab-gamut1_2.42.4-3_i386.deb ... Unpacking liblab-gamut1:i386 (2.42.4-3) ... Selecting previously unselected package x11-common. Preparing to unpack .../156-x11-common_1%3a7.7+24_all.deb ... Unpacking x11-common (1:7.7+24) ... Selecting previously unselected package libice6:i386. Preparing to unpack .../157-libice6_2%3a1.1.1-1_i386.deb ... Unpacking libice6:i386 (2:1.1.1-1) ... Selecting previously unselected package libsm6:i386. Preparing to unpack .../158-libsm6_2%3a1.2.4-1_i386.deb ... Unpacking libsm6:i386 (2:1.2.4-1) ... Selecting previously unselected package libxt6t64:i386. Preparing to unpack .../159-libxt6t64_1%3a1.2.1-1.2+b2_i386.deb ... Unpacking libxt6t64:i386 (1:1.2.1-1.2+b2) ... Selecting previously unselected package libxmu6:i386. Preparing to unpack .../160-libxmu6_2%3a1.1.3-3+b4_i386.deb ... Unpacking libxmu6:i386 (2:1.1.3-3+b4) ... Selecting previously unselected package libxaw7:i386. Preparing to unpack .../161-libxaw7_2%3a1.0.16-1_i386.deb ... Unpacking libxaw7:i386 (2:1.0.16-1) ... Selecting previously unselected package graphviz. Preparing to unpack .../162-graphviz_2.42.4-3_i386.deb ... Unpacking graphviz (2.42.4-3) ... Selecting previously unselected package libatk1.0-0t64:i386. Preparing to unpack .../163-libatk1.0-0t64_2.56.0-3_i386.deb ... Unpacking libatk1.0-0t64:i386 (2.56.0-3) ... Selecting previously unselected package libxi6:i386. Preparing to unpack .../164-libxi6_2%3a1.8.2-1_i386.deb ... Unpacking libxi6:i386 (2:1.8.2-1) ... Selecting previously unselected package libatspi2.0-0t64:i386. Preparing to unpack .../165-libatspi2.0-0t64_2.56.0-3_i386.deb ... Unpacking libatspi2.0-0t64:i386 (2.56.0-3) ... Selecting previously unselected package libatk-bridge2.0-0t64:i386. Preparing to unpack .../166-libatk-bridge2.0-0t64_2.56.0-3_i386.deb ... Unpacking libatk-bridge2.0-0t64:i386 (2.56.0-3) ... Selecting previously unselected package libcairo-gobject2:i386. Preparing to unpack .../167-libcairo-gobject2_1.18.4-1+b1_i386.deb ... Unpacking libcairo-gobject2:i386 (1.18.4-1+b1) ... Selecting previously unselected package libcloudproviders0:i386. Preparing to unpack .../168-libcloudproviders0_0.3.6-2_i386.deb ... Unpacking libcloudproviders0:i386 (0.3.6-2) ... Selecting previously unselected package liblcms2-2:i386. Preparing to unpack .../169-liblcms2-2_2.16-2_i386.deb ... Unpacking liblcms2-2:i386 (2.16-2) ... Selecting previously unselected package libcolord2:i386. Preparing to unpack .../170-libcolord2_1.4.7-3_i386.deb ... Unpacking libcolord2:i386 (1.4.7-3) ... Selecting previously unselected package libavahi-common-data:i386. Preparing to unpack .../171-libavahi-common-data_0.8-16_i386.deb ... Unpacking libavahi-common-data:i386 (0.8-16) ... Selecting previously unselected package libavahi-common3:i386. Preparing to unpack .../172-libavahi-common3_0.8-16_i386.deb ... Unpacking libavahi-common3:i386 (0.8-16) ... Selecting previously unselected package libavahi-client3:i386. Preparing to unpack .../173-libavahi-client3_0.8-16_i386.deb ... Unpacking libavahi-client3:i386 (0.8-16) ... Selecting previously unselected package libcups2t64:i386. Preparing to unpack .../174-libcups2t64_2.4.10-2+b1_i386.deb ... Unpacking libcups2t64:i386 (2.4.10-2+b1) ... Selecting previously unselected package libepoxy0:i386. Preparing to unpack .../175-libepoxy0_1.5.10-2_i386.deb ... Unpacking libepoxy0:i386 (1.5.10-2) ... Selecting previously unselected package libwayland-client0:i386. Preparing to unpack .../176-libwayland-client0_1.23.1-3_i386.deb ... Unpacking libwayland-client0:i386 (1.23.1-3) ... Selecting previously unselected package libwayland-cursor0:i386. Preparing to unpack .../177-libwayland-cursor0_1.23.1-3_i386.deb ... Unpacking libwayland-cursor0:i386 (1.23.1-3) ... Selecting previously unselected package libwayland-egl1:i386. Preparing to unpack .../178-libwayland-egl1_1.23.1-3_i386.deb ... Unpacking libwayland-egl1:i386 (1.23.1-3) ... Selecting previously unselected package libxcomposite1:i386. Preparing to unpack .../179-libxcomposite1_1%3a0.4.6-1_i386.deb ... Unpacking libxcomposite1:i386 (1:0.4.6-1) ... Selecting previously unselected package libxfixes3:i386. Preparing to unpack .../180-libxfixes3_1%3a6.0.0-2+b4_i386.deb ... Unpacking libxfixes3:i386 (1:6.0.0-2+b4) ... Selecting previously unselected package libxcursor1:i386. Preparing to unpack .../181-libxcursor1_1%3a1.2.3-1_i386.deb ... Unpacking libxcursor1:i386 (1:1.2.3-1) ... Selecting previously unselected package libxdamage1:i386. Preparing to unpack .../182-libxdamage1_1%3a1.1.6-1+b2_i386.deb ... Unpacking libxdamage1:i386 (1:1.1.6-1+b2) ... Selecting previously unselected package libxinerama1:i386. Preparing to unpack .../183-libxinerama1_2%3a1.1.4-3+b3_i386.deb ... Unpacking libxinerama1:i386 (2:1.1.4-3+b3) ... Selecting previously unselected package xkb-data. Preparing to unpack .../184-xkb-data_2.42-1_all.deb ... Unpacking xkb-data (2.42-1) ... Selecting previously unselected package libxkbcommon0:i386. Preparing to unpack .../185-libxkbcommon0_1.7.0-2_i386.deb ... Unpacking libxkbcommon0:i386 (1.7.0-2) ... Selecting previously unselected package libxrandr2:i386. Preparing to unpack .../186-libxrandr2_2%3a1.5.4-1+b3_i386.deb ... Unpacking libxrandr2:i386 (2:1.5.4-1+b3) ... Selecting previously unselected package libgtk-3-common. Preparing to unpack .../187-libgtk-3-common_3.24.49-2_all.deb ... Unpacking libgtk-3-common (3.24.49-2) ... Selecting previously unselected package libgtk-3-0t64:i386. Preparing to unpack .../188-libgtk-3-0t64_3.24.49-2_i386.deb ... Unpacking libgtk-3-0t64:i386 (3.24.49-2) ... Selecting previously unselected package libjudydebian1. Preparing to unpack .../189-libjudydebian1_1.0.5-5.1_i386.deb ... Unpacking libjudydebian1 (1.0.5-5.1) ... Selecting previously unselected package libtcl8.6:i386. Preparing to unpack .../190-libtcl8.6_8.6.16+dfsg-1_i386.deb ... Unpacking libtcl8.6:i386 (8.6.16+dfsg-1) ... Selecting previously unselected package libxft2:i386. Preparing to unpack .../191-libxft2_2.3.6-1+b4_i386.deb ... Unpacking libxft2:i386 (2.3.6-1+b4) ... Selecting previously unselected package libxss1:i386. Preparing to unpack .../192-libxss1_1%3a1.2.3-1+b3_i386.deb ... Unpacking libxss1:i386 (1:1.2.3-1+b3) ... Selecting previously unselected package libtk8.6:i386. Preparing to unpack .../193-libtk8.6_8.6.16-1_i386.deb ... Unpacking libtk8.6:i386 (8.6.16-1) ... Selecting previously unselected package gtkwave. Preparing to unpack .../194-gtkwave_3.3.121-1_i386.deb ... Unpacking gtkwave (3.3.121-1) ... Selecting previously unselected package iverilog. Preparing to unpack .../195-iverilog_12.0-2+b1_i386.deb ... Unpacking iverilog (12.0-2+b1) ... Selecting previously unselected package tex-common. Preparing to unpack .../196-tex-common_6.19_all.deb ... Unpacking tex-common (6.19) ... Selecting previously unselected package libpaper2:i386. Preparing to unpack .../197-libpaper2_2.2.5-0.3+b1_i386.deb ... Unpacking libpaper2:i386 (2.2.5-0.3+b1) ... Selecting previously unselected package libpaper-utils. Preparing to unpack .../198-libpaper-utils_2.2.5-0.3+b1_i386.deb ... Unpacking libpaper-utils (2.2.5-0.3+b1) ... Selecting previously unselected package libkpathsea6:i386. Preparing to unpack .../199-libkpathsea6_2024.20240313.70630+ds-6_i386.deb ... Unpacking libkpathsea6:i386 (2024.20240313.70630+ds-6) ... Selecting previously unselected package libptexenc1:i386. Preparing to unpack .../200-libptexenc1_2024.20240313.70630+ds-6_i386.deb ... Unpacking libptexenc1:i386 (2024.20240313.70630+ds-6) ... Selecting previously unselected package libsynctex2:i386. Preparing to unpack .../201-libsynctex2_2024.20240313.70630+ds-6_i386.deb ... Unpacking libsynctex2:i386 (2024.20240313.70630+ds-6) ... Selecting previously unselected package libtexlua53-5:i386. Preparing to unpack .../202-libtexlua53-5_2024.20240313.70630+ds-6_i386.deb ... Unpacking libtexlua53-5:i386 (2024.20240313.70630+ds-6) ... Selecting previously unselected package t1utils. Preparing to unpack .../203-t1utils_1.41-4_i386.deb ... Unpacking t1utils (1.41-4) ... Selecting previously unselected package libmpfi0:i386. Preparing to unpack .../204-libmpfi0_1.5.4+ds-4_i386.deb ... Unpacking libmpfi0:i386 (1.5.4+ds-4) ... Selecting previously unselected package libpotrace0:i386. Preparing to unpack .../205-libpotrace0_1.16-2+b2_i386.deb ... Unpacking libpotrace0:i386 (1.16-2+b2) ... Selecting previously unselected package libteckit0:i386. Preparing to unpack .../206-libteckit0_2.5.12+ds1-1+b1_i386.deb ... Unpacking libteckit0:i386 (2.5.12+ds1-1+b1) ... Selecting previously unselected package libzzip-0-13t64:i386. Preparing to unpack .../207-libzzip-0-13t64_0.13.78+dfsg.1-0.1_i386.deb ... Unpacking libzzip-0-13t64:i386 (0.13.78+dfsg.1-0.1) ... Selecting previously unselected package texlive-binaries. Preparing to unpack .../208-texlive-binaries_2024.20240313.70630+ds-6_i386.deb ... Unpacking texlive-binaries (2024.20240313.70630+ds-6) ... Selecting previously unselected package xdg-utils. Preparing to unpack .../209-xdg-utils_1.2.1-2_all.deb ... Unpacking xdg-utils (1.2.1-2) ... Selecting previously unselected package texlive-base. Preparing to unpack .../210-texlive-base_2024.20250309-1_all.deb ... Unpacking texlive-base (2024.20250309-1) ... Selecting previously unselected package texlive-latex-base. Preparing to unpack .../211-texlive-latex-base_2024.20250309-1_all.deb ... Unpacking texlive-latex-base (2024.20250309-1) ... Selecting previously unselected package latexmk. Preparing to unpack .../212-latexmk_1%3a4.86~ds-1_all.deb ... Unpacking latexmk (1:4.86~ds-1) ... Selecting previously unselected package libapache-pom-java. Preparing to unpack .../213-libapache-pom-java_33-2_all.deb ... Unpacking libapache-pom-java (33-2) ... Selecting previously unselected package libio-string-perl. Preparing to unpack .../214-libio-string-perl_1.08-4_all.deb ... Unpacking libio-string-perl (1.08-4) ... Selecting previously unselected package liblatex-tounicode-perl. Preparing to unpack .../215-liblatex-tounicode-perl_0.55-1_all.deb ... Unpacking liblatex-tounicode-perl (0.55-1) ... Selecting previously unselected package libbibtex-parser-perl. Preparing to unpack .../216-libbibtex-parser-perl_1.05+dfsg-1_all.deb ... Unpacking libbibtex-parser-perl (1.05+dfsg-1) ... Selecting previously unselected package libbz2-dev:i386. Preparing to unpack .../217-libbz2-dev_1.0.8-6_i386.deb ... Unpacking libbz2-dev:i386 (1.0.8-6) ... Selecting previously unselected package libcommons-parent-java. Preparing to unpack .../218-libcommons-parent-java_56-1_all.deb ... Unpacking libcommons-parent-java (56-1) ... Selecting previously unselected package libcommons-logging-java. Preparing to unpack .../219-libcommons-logging-java_1.3.0-1_all.deb ... Unpacking libcommons-logging-java (1.3.0-1) ... Selecting previously unselected package libcxxopts-dev. Preparing to unpack .../220-libcxxopts-dev_3.2.1-1_all.deb ... Unpacking libcxxopts-dev (3.2.1-1) ... Selecting previously unselected package libffi-dev:i386. Preparing to unpack .../221-libffi-dev_3.4.7-1_i386.deb ... Unpacking libffi-dev:i386 (3.4.7-1) ... Selecting previously unselected package libfontbox-java. Preparing to unpack .../222-libfontbox-java_1%3a1.8.16-5_all.deb ... Unpacking libfontbox-java (1:1.8.16-5) ... Selecting previously unselected package libfontenc1:i386. Preparing to unpack .../223-libfontenc1_1%3a1.1.8-1+b2_i386.deb ... Unpacking libfontenc1:i386 (1:1.1.8-1+b2) ... Selecting previously unselected package libgpgme11t64:i386. Preparing to unpack .../224-libgpgme11t64_1.24.2-1+b1_i386.deb ... Unpacking libgpgme11t64:i386 (1.24.2-1+b1) ... Selecting previously unselected package libgpgmepp6t64:i386. Preparing to unpack .../225-libgpgmepp6t64_1.24.2-1+b1_i386.deb ... Unpacking libgpgmepp6t64:i386 (1.24.2-1+b1) ... Selecting previously unselected package libjs-jquery. Preparing to unpack .../226-libjs-jquery_3.6.1+dfsg+~3.5.14-1_all.deb ... Unpacking libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Selecting previously unselected package libjs-underscore. Preparing to unpack .../227-libjs-underscore_1.13.4~dfsg+~1.11.4-3_all.deb ... Unpacking libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Selecting previously unselected package libjs-sphinxdoc. Preparing to unpack .../228-libjs-sphinxdoc_8.1.3-5_all.deb ... Unpacking libjs-sphinxdoc (8.1.3-5) ... Selecting previously unselected package libjson-perl. Preparing to unpack .../229-libjson-perl_4.10000-1_all.deb ... Unpacking libjson-perl (4.10000-1) ... Selecting previously unselected package libncurses6:i386. Preparing to unpack .../230-libncurses6_6.5+20250216-2_i386.deb ... Unpacking libncurses6:i386 (6.5+20250216-2) ... Selecting previously unselected package libncurses-dev:i386. Preparing to unpack .../231-libncurses-dev_6.5+20250216-2_i386.deb ... Unpacking libncurses-dev:i386 (6.5+20250216-2) ... Selecting previously unselected package libnspr4:i386. Preparing to unpack .../232-libnspr4_2%3a4.36-1_i386.deb ... Unpacking libnspr4:i386 (2:4.36-1) ... Selecting previously unselected package libnss3:i386. Preparing to unpack .../233-libnss3_2%3a3.109-1_i386.deb ... Unpacking libnss3:i386 (2:3.109-1) ... Selecting previously unselected package libopenjp2-7:i386. Preparing to unpack .../234-libopenjp2-7_2.5.3-2_i386.deb ... Unpacking libopenjp2-7:i386 (2.5.3-2) ... Selecting previously unselected package libpdfbox-java. Preparing to unpack .../235-libpdfbox-java_1%3a1.8.16-5_all.deb ... Unpacking libpdfbox-java (1:1.8.16-5) ... Selecting previously unselected package libpkgconf3:i386. Preparing to unpack .../236-libpkgconf3_1.8.1-4_i386.deb ... Unpacking libpkgconf3:i386 (1.8.1-4) ... Selecting previously unselected package libpoppler147:i386. Preparing to unpack .../237-libpoppler147_25.03.0-2_i386.deb ... Unpacking libpoppler147:i386 (25.03.0-2) ... Selecting previously unselected package libpoppler-glib8t64:i386. Preparing to unpack .../238-libpoppler-glib8t64_25.03.0-2_i386.deb ... Unpacking libpoppler-glib8t64:i386 (25.03.0-2) ... Selecting previously unselected package libreadline-dev:i386. Preparing to unpack .../239-libreadline-dev_8.2-6_i386.deb ... Unpacking libreadline-dev:i386 (8.2-6) ... Selecting previously unselected package libyaml-0-2:i386. Preparing to unpack .../240-libyaml-0-2_0.2.5-2_i386.deb ... Unpacking libyaml-0-2:i386 (0.2.5-2) ... Selecting previously unselected package xfonts-encodings. Preparing to unpack .../241-xfonts-encodings_1%3a1.0.4-2.2_all.deb ... Unpacking xfonts-encodings (1:1.0.4-2.2) ... Selecting previously unselected package xfonts-utils. Preparing to unpack .../242-xfonts-utils_1%3a7.7+7_i386.deb ... Unpacking xfonts-utils (1:7.7+7) ... Selecting previously unselected package lmodern. Preparing to unpack .../243-lmodern_2.005-1_all.deb ... Unpacking lmodern (2.005-1) ... Selecting previously unselected package pdf2svg. Preparing to unpack .../244-pdf2svg_0.2.4-1_i386.deb ... Unpacking pdf2svg (0.2.4-1) ... Selecting previously unselected package pkgconf-bin. Preparing to unpack .../245-pkgconf-bin_1.8.1-4_i386.deb ... Unpacking pkgconf-bin (1.8.1-4) ... Selecting previously unselected package pkgconf:i386. Preparing to unpack .../246-pkgconf_1.8.1-4_i386.deb ... Unpacking pkgconf:i386 (1.8.1-4) ... Selecting previously unselected package preview-latex-style. Preparing to unpack .../247-preview-latex-style_13.2-1_all.deb ... Unpacking preview-latex-style (13.2-1) ... Selecting previously unselected package python-babel-localedata. Preparing to unpack .../248-python-babel-localedata_2.17.0-1_all.deb ... Unpacking python-babel-localedata (2.17.0-1) ... Selecting previously unselected package python3-alabaster. Preparing to unpack .../249-python3-alabaster_0.7.16-0.1_all.deb ... Unpacking python3-alabaster (0.7.16-0.1) ... Selecting previously unselected package python3-autocommand. Preparing to unpack .../250-python3-autocommand_2.2.2-3_all.deb ... Unpacking python3-autocommand (2.2.2-3) ... Selecting previously unselected package python3-babel. Preparing to unpack .../251-python3-babel_2.17.0-1_all.deb ... Unpacking python3-babel (2.17.0-1) ... Selecting previously unselected package python3-certifi. Preparing to unpack .../252-python3-certifi_2025.1.31+ds-1_all.deb ... Unpacking python3-certifi (2025.1.31+ds-1) ... Selecting previously unselected package python3-chardet. Preparing to unpack .../253-python3-chardet_5.2.0+dfsg-2_all.deb ... Unpacking python3-chardet (5.2.0+dfsg-2) ... Selecting previously unselected package python3-charset-normalizer. Preparing to unpack .../254-python3-charset-normalizer_3.4.1-1+b1_i386.deb ... Unpacking python3-charset-normalizer (3.4.1-1+b1) ... Selecting previously unselected package python3-click. Preparing to unpack .../255-python3-click_8.2.0+0.really.8.1.8-1_all.deb ... Unpacking python3-click (8.2.0+0.really.8.1.8-1) ... Selecting previously unselected package python3-defusedxml. Preparing to unpack .../256-python3-defusedxml_0.7.1-3_all.deb ... Unpacking python3-defusedxml (0.7.1-3) ... Selecting previously unselected package python3-roman. Preparing to unpack .../257-python3-roman_5.0-1_all.deb ... Unpacking python3-roman (5.0-1) ... Selecting previously unselected package python3-docutils. Preparing to unpack .../258-python3-docutils_0.21.2+dfsg-2_all.deb ... Unpacking python3-docutils (0.21.2+dfsg-2) ... Selecting previously unselected package python3-idna. Preparing to unpack .../259-python3-idna_3.10-1_all.deb ... Unpacking python3-idna (3.10-1) ... Selecting previously unselected package python3-imagesize. Preparing to unpack .../260-python3-imagesize_1.4.1-1_all.deb ... Unpacking python3-imagesize (1.4.1-1) ... Selecting previously unselected package python3-more-itertools. Preparing to unpack .../261-python3-more-itertools_10.6.0-1_all.deb ... Unpacking python3-more-itertools (10.6.0-1) ... Selecting previously unselected package python3-typing-extensions. Preparing to unpack .../262-python3-typing-extensions_4.12.2-2_all.deb ... Unpacking python3-typing-extensions (4.12.2-2) ... Selecting previously unselected package python3-typeguard. Preparing to unpack .../263-python3-typeguard_4.4.2-1_all.deb ... Unpacking python3-typeguard (4.4.2-1) ... Selecting previously unselected package python3-inflect. Preparing to unpack .../264-python3-inflect_7.3.1-2_all.deb ... Unpacking python3-inflect (7.3.1-2) ... Selecting previously unselected package python3-jaraco.functools. Preparing to unpack .../265-python3-jaraco.functools_4.1.0-1_all.deb ... Unpacking python3-jaraco.functools (4.1.0-1) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../266-python3-pkg-resources_75.8.0-1_all.deb ... Unpacking python3-pkg-resources (75.8.0-1) ... Selecting previously unselected package python3-jaraco.text. Preparing to unpack .../267-python3-jaraco.text_4.0.0-1_all.deb ... Unpacking python3-jaraco.text (4.0.0-1) ... Selecting previously unselected package python3-zipp. Preparing to unpack .../268-python3-zipp_3.21.0-1_all.deb ... Unpacking python3-zipp (3.21.0-1) ... Selecting previously unselected package python3-setuptools. Preparing to unpack .../269-python3-setuptools_75.8.0-1_all.deb ... Unpacking python3-setuptools (75.8.0-1) ... Selecting previously unselected package python3-jaraco.context. Preparing to unpack .../270-python3-jaraco.context_6.0.1-1_all.deb ... Unpacking python3-jaraco.context (6.0.1-1) ... Selecting previously unselected package python3-markupsafe. Preparing to unpack .../271-python3-markupsafe_2.1.5-1+b3_i386.deb ... Unpacking python3-markupsafe (2.1.5-1+b3) ... Selecting previously unselected package python3-jinja2. Preparing to unpack .../272-python3-jinja2_3.1.5-2_all.deb ... Unpacking python3-jinja2 (3.1.5-2) ... Selecting previously unselected package python3-latexcodec. Preparing to unpack .../273-python3-latexcodec_3.0.0-1_all.deb ... Unpacking python3-latexcodec (3.0.0-1) ... Selecting previously unselected package python3-packaging. Preparing to unpack .../274-python3-packaging_24.2-1_all.deb ... Unpacking python3-packaging (24.2-1) ... Selecting previously unselected package python3-six. Preparing to unpack .../275-python3-six_1.17.0-1_all.deb ... Unpacking python3-six (1.17.0-1) ... Selecting previously unselected package python3-yaml. Preparing to unpack .../276-python3-yaml_6.0.2-1+b2_i386.deb ... Unpacking python3-yaml (6.0.2-1+b2) ... Selecting previously unselected package python3-pybtex. Preparing to unpack .../277-python3-pybtex_0.24.0-4_all.deb ... Unpacking python3-pybtex (0.24.0-4) ... Selecting previously unselected package python3-pybtex-docutils. Preparing to unpack .../278-python3-pybtex-docutils_1.0.2-1_all.deb ... Unpacking python3-pybtex-docutils (1.0.2-1) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../279-python3-pygments_2.18.0+dfsg-2_all.deb ... Unpacking python3-pygments (2.18.0+dfsg-2) ... Selecting previously unselected package python3-urllib3. Preparing to unpack .../280-python3-urllib3_2.3.0-2_all.deb ... Unpacking python3-urllib3 (2.3.0-2) ... Selecting previously unselected package python3-requests. Preparing to unpack .../281-python3-requests_2.32.3+dfsg-5_all.deb ... Unpacking python3-requests (2.32.3+dfsg-5) ... Selecting previously unselected package python3-snowballstemmer. Preparing to unpack .../282-python3-snowballstemmer_2.2.0-4_all.deb ... Unpacking python3-snowballstemmer (2.2.0-4) ... Selecting previously unselected package sphinx-common. Preparing to unpack .../283-sphinx-common_8.1.3-5_all.deb ... Unpacking sphinx-common (8.1.3-5) ... Selecting previously unselected package python3-sphinx. Preparing to unpack .../284-python3-sphinx_8.1.3-5_all.deb ... Unpacking python3-sphinx (8.1.3-5) ... Selecting previously unselected package python3-sphinx-press-theme. Preparing to unpack .../285-python3-sphinx-press-theme_0.8.0-2_all.deb ... Unpacking python3-sphinx-press-theme (0.8.0-2) ... Selecting previously unselected package python3-sphinxcontrib.bibtex. Preparing to unpack .../286-python3-sphinxcontrib.bibtex_2.6.2-1_all.deb ... Unpacking python3-sphinxcontrib.bibtex (2.6.2-1) ... Selecting previously unselected package tcl8.6. Preparing to unpack .../287-tcl8.6_8.6.16+dfsg-1_i386.deb ... Unpacking tcl8.6 (8.6.16+dfsg-1) ... Selecting previously unselected package tcl. Preparing to unpack .../288-tcl_8.6.16_i386.deb ... Unpacking tcl (8.6.16) ... Selecting previously unselected package zlib1g-dev:i386. Preparing to unpack .../289-zlib1g-dev_1%3a1.3.dfsg+really1.3.1-1+b1_i386.deb ... Unpacking zlib1g-dev:i386 (1:1.3.dfsg+really1.3.1-1+b1) ... Selecting previously unselected package tcl8.6-dev:i386. Preparing to unpack .../290-tcl8.6-dev_8.6.16+dfsg-1_i386.deb ... Unpacking tcl8.6-dev:i386 (8.6.16+dfsg-1) ... Selecting previously unselected package tcl-dev:i386. Preparing to unpack .../291-tcl-dev_8.6.16_i386.deb ... Unpacking tcl-dev:i386 (8.6.16) ... Selecting previously unselected package tex-gyre. Preparing to unpack .../292-tex-gyre_20180621-6_all.deb ... Unpacking tex-gyre (20180621-6) ... Selecting previously unselected package texlive-bibtex-extra. Preparing to unpack .../293-texlive-bibtex-extra_2024.20250309-2_all.deb ... Unpacking texlive-bibtex-extra (2024.20250309-2) ... Selecting previously unselected package texlive-font-utils. Preparing to unpack .../294-texlive-font-utils_2024.20250309-2_all.deb ... Unpacking texlive-font-utils (2024.20250309-2) ... Selecting previously unselected package texlive-fonts-extra. Preparing to unpack .../295-texlive-fonts-extra_2024.20250309-2_all.deb ... Unpacking texlive-fonts-extra (2024.20250309-2) ... Selecting previously unselected package texlive-fonts-recommended. Preparing to unpack .../296-texlive-fonts-recommended_2024.20250309-1_all.deb ... Unpacking texlive-fonts-recommended (2024.20250309-1) ... Selecting previously unselected package texlive-lang-greek. Preparing to unpack .../297-texlive-lang-greek_2024.20250309-1_all.deb ... Unpacking texlive-lang-greek (2024.20250309-1) ... Selecting previously unselected package texlive-latex-recommended. Preparing to unpack .../298-texlive-latex-recommended_2024.20250309-1_all.deb ... Unpacking texlive-latex-recommended (2024.20250309-1) ... Selecting previously unselected package texlive-pictures. Preparing to unpack .../299-texlive-pictures_2024.20250309-1_all.deb ... Unpacking texlive-pictures (2024.20250309-1) ... Selecting previously unselected package texlive-latex-extra. Preparing to unpack .../300-texlive-latex-extra_2024.20250309-2_all.deb ... Unpacking texlive-latex-extra (2024.20250309-2) ... Selecting previously unselected package texlive-plain-generic. Preparing to unpack .../301-texlive-plain-generic_2024.20250309-2_all.deb ... Unpacking texlive-plain-generic (2024.20250309-2) ... Selecting previously unselected package texlive-publishers. Preparing to unpack .../302-texlive-publishers_2024.20250309-2_all.deb ... Unpacking texlive-publishers (2024.20250309-2) ... Selecting previously unselected package texlive-science. Preparing to unpack .../303-texlive-science_2024.20250309-2_all.deb ... Unpacking texlive-science (2024.20250309-2) ... Selecting previously unselected package txt2man. Preparing to unpack .../304-txt2man_1.7.1-4_all.deb ... Unpacking txt2man (1.7.1-4) ... Setting up media-types (13.0.0) ... Setting up libpipeline1:i386 (1.5.8-1) ... Setting up libgraphite2-3:i386 (1.3.14-2+b1) ... Setting up liblcms2-2:i386 (2.16-2) ... Setting up libpixman-1-0:i386 (0.44.0-3) ... Setting up libtext-charwidth-perl:i386 (0.04-11+b4) ... Setting up libsharpyuv0:i386 (1.5.0-0.1) ... Setting up libaom3:i386 (3.12.0-1) ... Setting up systemd-sysv (257.4-3) ... Setting up libxau6:i386 (1:1.0.11-1) ... Setting up libxdmcp6:i386 (1:1.1.5-1) ... Setting up libnpth0t64:i386 (1.8-2) ... Setting up libkeyutils1:i386 (1.6.3-4) ... Setting up libxcb1:i386 (1.17.0-2+b1) ... Setting up liblerc4:i386 (4.0.0+ds-5) ... Setting up bsdextrautils (2.40.4-5) ... Setting up fonts-gfs-porson (1.1-7) ... Setting up hicolor-icon-theme (0.18-2) ... Setting up libgpg-error0:i386 (1.51-4) ... Setting up libdatrie1:i386 (0.2.13-3+b1) ... Setting up libmagic-mgc (1:5.46-3) ... Setting up gawk (1:5.2.1-2+b1) ... Setting up libxcb-render0:i386 (1.17.0-2+b1) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libyaml-0-2:i386 (0.2.5-2) ... Setting up libdebhelper-perl (13.24.1) ... Setting up libbrotli1:i386 (1.1.0-2+b7) ... Setting up libfontbox-java (1:1.8.16-5) ... Setting up liblatex-tounicode-perl (0.55-1) ... Setting up liblab-gamut1:i386 (2.42.4-3) ... Setting up libgdk-pixbuf2.0-common (2.42.12+dfsg-2) ... Setting up libmagic1t64:i386 (1:5.46-3) ... Setting up x11-common (1:7.7+24) ... Running in chroot, ignoring request. Setting up X socket directories... /tmp/.X11-unix /tmp/.ICE-unix. Setting up libnghttp2-14:i386 (1.64.0-1) ... Setting up libdeflate0:i386 (1.23-1+b1) ... Setting up gettext-base (0.23.1-1) ... Setting up m4 (1.4.19-7) ... Setting up libgcrypt20:i386 (1.11.0-7) ... Setting up xkb-data (2.42-1) ... Setting up libxcb-shm0:i386 (1.17.0-2+b1) ... Setting up libcom-err2:i386 (1.47.2-1+b1) ... Setting up file (1:5.46-3) ... Setting up libabsl20240722:i386 (20240722.0-1.5) ... Setting up libtext-wrapi18n-perl (0.06-10) ... Setting up libjbig0:i386 (2.1-6.1+b2) ... Setting up libelf1t64:i386 (0.192-4) ... Setting up python-babel-localedata (2.17.0-1) ... Setting up libjudydebian1 (1.0.5-5.1) ... Setting up libkrb5support0:i386 (1.21.3-5) ... Setting up libsasl2-modules-db:i386 (2.1.28+dfsg1-9) ... Setting up tzdata (2025b-1) ... Current default time zone: 'Etc/UTC' Local time is now: Sun Apr 26 15:58:47 UTC 2026. Universal Time is now: Sun Apr 26 15:58:47 UTC 2026. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up liberror-perl (0.17030-1) ... Setting up libio-string-perl (1.08-4) ... Setting up iverilog (12.0-2+b1) ... Setting up libfontenc1:i386 (1:1.1.8-1+b2) ... Setting up autotools-dev (20240727.1) ... Setting up libpkgconf3:i386 (1.8.1-4) ... Setting up libjpeg62-turbo:i386 (1:2.1.5-3.1) ... Setting up libzzip-0-13t64:i386 (0.13.78+dfsg.1-0.1) ... Setting up libx11-data (2:1.8.12-1) ... Setting up libsvtav1enc2:i386 (2.3.0+dfsg-1) ... Setting up libepoxy0:i386 (1.5.10-2) ... Setting up libnspr4:i386 (2:4.36-1) ... Setting up gnupg-l10n (2.2.46-6) ... Setting up libteckit0:i386 (2.5.12+ds1-1+b1) ... Setting up libpathplan4:i386 (2.42.4-3) ... Setting up libapache-pom-java (33-2) ... Setting up libavahi-common-data:i386 (0.8-16) ... Setting up libncurses6:i386 (6.5+20250216-2) ... Setting up libdbus-1-3:i386 (1.16.2-2) ... Setting up xfonts-encodings (1:1.0.4-2.2) ... Setting up libfribidi0:i386 (1.0.16-1) ... Setting up t1utils (1.41-4) ... Setting up libtexlua53-5:i386 (2024.20240313.70630+ds-6) ... Setting up libimagequant0:i386 (2.18.0-1+b2) ... Setting up libproc2-0:i386 (2:4.0.4-7) ... Setting up libunistring5:i386 (1.3-2) ... Setting up fonts-dejavu-mono (2.37-8) ... Setting up libpng16-16t64:i386 (1.6.47-1.1) ... Setting up libtcl8.6:i386 (8.6.16+dfsg-1) ... Setting up autopoint (0.23.1-1) ... Setting up libmpfi0:i386 (1.5.4+ds-4) ... Setting up fonts-dejavu-core (2.37-8) ... Setting up libgav1-1:i386 (0.19.0-3+b1) ... Setting up pkgconf-bin (1.8.1-4) ... Setting up libk5crypto3:i386 (1.21.3-5) ... Setting up libltdl7:i386 (2.5.4-4) ... Setting up libkpathsea6:i386 (2024.20240313.70630+ds-6) ... Setting up libsasl2-2:i386 (2.1.28+dfsg1-9) ... Setting up autoconf (2.72-3) ... Setting up libnghttp3-9:i386 (1.8.0-1) ... Setting up libwebp7:i386 (1.5.0-0.1) ... Setting up libcxxopts-dev (3.2.1-1) ... Setting up zlib1g-dev:i386 (1:1.3.dfsg+really1.3.1-1+b1) ... Setting up libffi8:i386 (3.4.7-1) ... Setting up dwz (0.15-1+b1) ... Setting up libdav1d7:i386 (1.5.1-1) ... Setting up fonts-gfs-baskerville (1.1-6) ... Setting up sensible-utils (0.0.24) ... Setting up at-spi2-common (2.56.0-3) ... Setting up libtiff6:i386 (4.5.1+git230720-5) ... Setting up dbus-session-bus-common (1.16.2-2) ... Setting up librav1e0.7:i386 (0.7.1-9+b1) ... Setting up libuchardet0:i386 (0.0.8-1+b2) ... Setting up libassuan9:i386 (3.0.2-2) ... Setting up procps (2:4.0.4-7) ... Setting up libjson-perl (4.10000-1) ... Setting up bison (2:3.8.2+dfsg-1+b2) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up gpgconf (2.2.46-6) ... Setting up libtasn1-6:i386 (4.20.0-2) ... Setting up fonts-lmodern (2.005-1) ... Setting up libopenjp2-7:i386 (2.5.3-2) ... Setting up git-man (1:2.49.0-1) ... Setting up libx11-6:i386 (2:1.8.12-1) ... Setting up libthai-data (0.1.29-2) ... Setting up netbase (6.5) ... Setting up libngtcp2-16:i386 (1.11.0-1) ... Setting up sgml-base (1.31) ... Setting up libcdt5:i386 (2.42.4-3) ... Setting up libkrb5-3:i386 (1.21.3-5) ... Setting up libcgraph6:i386 (2.42.4-3) ... Setting up libwayland-egl1:i386 (1.23.1-3) ... Setting up libicu76:i386 (76.1-3) ... Setting up libpaper2:i386 (2.2.5-0.3+b1) ... Setting up libssh2-1t64:i386 (1.11.1-1) ... Setting up libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Setting up dbus-system-bus-common (1.16.2-2) ... Creating group 'messagebus' with GID 997. Creating user 'messagebus' (System Message Bus) with UID 997 and GID 997. Setting up libde265-0:i386 (1.0.15-1+b3) ... Setting up openssl (3.4.1-1) ... Setting up libyuv0:i386 (0.0.1904.20250204-1) ... Setting up libxcomposite1:i386 (1:0.4.6-1) ... Setting up libpython3.13-stdlib:i386 (3.13.2-2) ... Setting up libxml2:i386 (2.12.7+dfsg+really2.9.14-0.3+b1) ... Setting up xdg-utils (1.2.1-2) ... update-alternatives: using /usr/bin/xdg-open to provide /usr/bin/open (open) in auto mode Setting up libldap2:i386 (2.6.9+dfsg-2) ... Setting up dbus-bin (1.16.2-2) ... Setting up gpg (2.2.46-6) ... Setting up libsynctex2:i386 (2024.20240313.70630+ds-6) ... Setting up libpython3-stdlib:i386 (3.13.2-2) ... Setting up libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Setting up libfaketime:i386 (0.9.10+2024-06-05+gba9ed5b2-0.6) ... Setting up libbz2-dev:i386 (1.0.8-6) ... Setting up libxkbcommon0:i386 (1.7.0-2) ... Setting up libpotrace0:i386 (1.16-2+b2) ... Setting up libwayland-client0:i386 (1.23.1-3) ... Setting up automake (1:1.17-4) ... update-alternatives: using /usr/bin/automake-1.17 to provide /usr/bin/automake (automake) in auto mode Setting up libksba8:i386 (1.6.7-2+b1) ... Setting up pinentry-curses (1.3.1-2) ... Setting up libfile-stripnondeterminism-perl (1.14.1-2) ... Setting up faketime (0.9.10+2024-06-05+gba9ed5b2-0.6) ... Setting up libice6:i386 (2:1.1.1-1) ... Setting up libavif16:i386 (1.2.1-1) ... Setting up flex (2.6.4-8.2+b4) ... Setting up tcl8.6 (8.6.16+dfsg-1) ... Setting up libncurses-dev:i386 (6.5+20250216-2) ... Setting up gettext (0.23.1-1) ... Setting up libxdamage1:i386 (1:1.1.6-1+b2) ... Setting up gpg-agent (2.2.46-6) ... Created symlink '/etc/systemd/user/sockets.target.wants/gpg-agent-browser.socket' -> '/usr/lib/systemd/user/gpg-agent-browser.socket'. Created symlink '/etc/systemd/user/sockets.target.wants/gpg-agent-extra.socket' -> '/usr/lib/systemd/user/gpg-agent-extra.socket'. Created symlink '/etc/systemd/user/sockets.target.wants/gpg-agent-ssh.socket' -> '/usr/lib/systemd/user/gpg-agent-ssh.socket'. Created symlink '/etc/systemd/user/sockets.target.wants/gpg-agent.socket' -> '/usr/lib/systemd/user/gpg-agent.socket'. Setting up libpdfbox-java (1:1.8.16-5) ... Setting up libxpm4:i386 (1:3.5.17-1+b3) ... Setting up txt2man (1.7.1-4) ... Setting up libxrender1:i386 (1:0.9.10-1.1+b4) ... Setting up libtool (2.5.4-4) ... Setting up python3.13 (3.13.2-2) ... Setting up fontconfig-config (2.15.0-2.2) ... Setting up tcl8.6-dev:i386 (8.6.16+dfsg-1) ... Setting up gpgsm (2.2.46-6) ... Setting up libcommons-parent-java (56-1) ... Setting up libavahi-common3:i386 (0.8-16) ... Setting up libcommons-logging-java (1.3.0-1) ... Setting up libxext6:i386 (2:1.3.4-1+b3) ... Setting up python3 (3.13.2-2) ... Setting up libidn2-0:i386 (2.3.8-2) ... Setting up libnss3:i386 (2:3.109-1) ... Setting up dbus-daemon (1.16.2-2) ... Setting up libpaper-utils (2.2.5-0.3+b1) ... Setting up libreadline-dev:i386 (8.2-6) ... Setting up python3-zipp (3.21.0-1) ... Setting up python3-click (8.2.0+0.really.8.1.8-1) ... Setting up python3-autocommand (2.2.2-3) ... Setting up libbibtex-parser-perl (1.05+dfsg-1) ... Setting up libffi-dev:i386 (3.4.7-1) ... Setting up python3-markupsafe (2.1.5-1+b3) ... Setting up pkgconf:i386 (1.8.1-4) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up python3-six (1.17.0-1) ... Setting up dh-autoreconf (20) ... Setting up libthai0:i386 (0.1.29-2+b1) ... Setting up ca-certificates (20241223) ... Updating certificates in /etc/ssl/certs... 152 added, 0 removed; done. Setting up python3-roman (5.0-1) ... Setting up python3-jinja2 (3.1.5-2) ... Setting up python3-pygments (2.18.0+dfsg-2) ... Setting up libglib2.0-0t64:i386 (2.84.0-2) ... Setting up python3-packaging (24.2-1) ... Setting up python3-chardet (5.2.0+dfsg-2) ... Setting up libptexenc1:i386 (2024.20240313.70630+ds-6) ... Setting up libfreetype6:i386 (2.13.3+dfsg-1) ... Setting up python3-certifi (2025.1.31+ds-1) ... Setting up libxfixes3:i386 (1:6.0.0-2+b4) ... Setting up python3-snowballstemmer (2.2.0-4) ... Setting up dbus (1.16.2-2) ... Running in chroot, ignoring request. invoke-rc.d: policy-rc.d denied execution of start. Setting up shared-mime-info (2.4-5+b2) ... Setting up libp11-kit0:i386 (0.25.5-3) ... Setting up libxinerama1:i386 (2:1.1.4-3+b3) ... Setting up libgssapi-krb5-2:i386 (1.21.3-5) ... Setting up python3-idna (3.10-1) ... Setting up libxrandr2:i386 (2:1.5.4-1+b3) ... Setting up python3-typing-extensions (4.12.2-2) ... Setting up ucf (3.0050) ... Setting up libjs-sphinxdoc (8.1.3-5) ... Setting up python3-urllib3 (2.3.0-2) ... Setting up dh-strip-nondeterminism (1.14.1-2) ... Setting up libgvpr2:i386 (2.42.4-3) ... Setting up groff-base (1.23.0-7) ... Setting up libwayland-cursor0:i386 (1.23.1-3) ... Setting up xml-core (0.19) ... Setting up tcl (8.6.16) ... Setting up libpam-systemd:i386 (257.4-3) ... Setting up libharfbuzz0b:i386 (10.2.0-1+b1) ... Setting up libgdk-pixbuf-2.0-0:i386 (2.42.12+dfsg-2) ... Setting up libgts-0.7-5t64:i386 (0.7.6+darcs121130-5.2+b1) ... Setting up libgpgme11t64:i386 (1.24.2-1+b1) ... Setting up libxss1:i386 (1:1.2.3-1+b3) ... Setting up libfontconfig1:i386 (2.15.0-2.2) ... Setting up libsm6:i386 (2:1.2.4-1) ... Setting up libavahi-client3:i386 (0.8-16) ... Setting up python3-imagesize (1.4.1-1) ... Setting up libgnutls30t64:i386 (3.8.9-2) ... Setting up dh-python (6.20250308) ... Setting up python3-more-itertools (10.6.0-1) ... Setting up libgpgmepp6t64:i386 (1.24.2-1+b1) ... Setting up gtk-update-icon-cache (4.18.2+ds-1) ... Setting up fontconfig (2.15.0-2.2) ... Regenerating fonts cache... done. Setting up libxft2:i386 (2.3.6-1+b4) ... Setting up libatk1.0-0t64:i386 (2.56.0-3) ... Setting up python3-jaraco.functools (4.1.0-1) ... Setting up libxi6:i386 (2:1.8.2-1) ... Setting up python3-jaraco.context (6.0.1-1) ... Setting up python3-babel (2.17.0-1) ... update-alternatives: using /usr/bin/pybabel-python3 to provide /usr/bin/pybabel (pybabel) in auto mode Setting up python3-defusedxml (0.7.1-3) ... Setting up python3-charset-normalizer (3.4.1-1+b1) ... Setting up python3-alabaster (0.7.16-0.1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up libtk8.6:i386 (8.6.16-1) ... Setting up libxcursor1:i386 (1:1.2.3-1) ... Setting up libpango-1.0-0:i386 (1.56.3-1) ... Setting up python3-typeguard (4.4.2-1) ... Setting up libpsl5t64:i386 (0.21.2-1.1+b1) ... Setting up libcloudproviders0:i386 (0.3.6-2) ... Setting up python3-latexcodec (3.0.0-1) ... Setting up python3-yaml (6.0.2-1+b2) ... Setting up xfonts-utils (1:7.7+7) ... Setting up man-db (2.13.0-1) ... Not building database; man-db/auto-update is not 'true'. Created symlink '/etc/systemd/system/timers.target.wants/man-db.timer' -> '/usr/lib/systemd/system/man-db.timer'. Setting up libcairo2:i386 (1.18.4-1+b1) ... Setting up libcolord2:i386 (1.4.7-3) ... Setting up libdconf1:i386 (0.40.0-5) ... Setting up tex-common (6.19) ... update-language: texlive-base not installed and configured, doing nothing! Setting up dirmngr (2.2.46-6) ... Created symlink '/etc/systemd/user/sockets.target.wants/dirmngr.socket' -> '/usr/lib/systemd/user/dirmngr.socket'. Setting up python3-inflect (7.3.1-2) ... Setting up dbus-user-session (1.16.2-2) ... Setting up adwaita-icon-theme (48.0-1) ... update-alternatives: using /usr/share/icons/Adwaita/cursor.theme to provide /usr/share/icons/default/index.theme (x-cursor-theme) in auto mode Setting up librtmp1:i386 (2.4+20151223.gitfa8646d.1-2+b5) ... Setting up python3-jaraco.text (4.0.0-1) ... Setting up libatspi2.0-0t64:i386 (2.56.0-3) ... Setting up libraqm0:i386 (0.10.2-1) ... Setting up sphinx-common (8.1.3-5) ... Setting up python3-requests (2.32.3+dfsg-5) ... Setting up libxt6t64:i386 (1:1.2.1-1.2+b2) ... Setting up tcl-dev:i386 (8.6.16) ... Setting up lmodern (2.005-1) ... Setting up gnupg (2.2.46-6) ... Setting up libcairo-gobject2:i386 (1.18.4-1+b1) ... Setting up libpangoft2-1.0-0:i386 (1.56.3-1) ... Setting up libcups2t64:i386 (2.4.10-2+b1) ... Setting up tex-gyre (20180621-6) ... Setting up libngtcp2-crypto-gnutls8:i386 (1.11.0-1) ... Setting up libpangocairo-1.0-0:i386 (1.56.3-1) ... Setting up python3-pkg-resources (75.8.0-1) ... Setting up libatk-bridge2.0-0t64:i386 (2.56.0-3) ... Setting up libxmu6:i386 (2:1.1.3-3+b4) ... Setting up python3-setuptools (75.8.0-1) ... Setting up preview-latex-style (13.2-1) ... Setting up libcurl3t64-gnutls:i386 (8.13.0~rc2-2) ... Setting up debhelper (13.24.1) ... Setting up dconf-service (0.40.0-5) ... Setting up libxaw7:i386 (2:1.0.16-1) ... Setting up git (1:2.49.0-1) ... Setting up python3-pybtex (0.24.0-4) ... Setting up texlive-binaries (2024.20240313.70630+ds-6) ... update-alternatives: using /usr/bin/xdvi-xaw to provide /usr/bin/xdvi.bin (xdvi.bin) in auto mode update-alternatives: using /usr/bin/bibtex.original to provide /usr/bin/bibtex (bibtex) in auto mode Setting up texlive-base (2024.20250309-1) ... tl-paper: setting paper size for dvips to a4: /var/lib/texmf/dvips/config/config-paper.ps tl-paper: setting paper size for dvipdfmx to a4: /var/lib/texmf/dvipdfmx/dvipdfmx-paper.cfg tl-paper: setting paper size for xdvi to a4: /var/lib/texmf/xdvi/XDvi-paper tl-paper: setting paper size for pdftex to a4: /var/lib/texmf/tex/generic/tex-ini-files/pdftexconfig.tex Setting up libpoppler147:i386 (25.03.0-2) ... Setting up dconf-gsettings-backend:i386 (0.40.0-5) ... Setting up texlive-plain-generic (2024.20250309-2) ... Setting up texlive-lang-greek (2024.20250309-1) ... Setting up texlive-font-utils (2024.20250309-2) ... Setting up libpoppler-glib8t64:i386 (25.03.0-2) ... Setting up texlive-latex-base (2024.20250309-1) ... Setting up texlive-fonts-extra (2024.20250309-2) ... Setting up texlive-latex-recommended (2024.20250309-1) ... Setting up texlive-pictures (2024.20250309-1) ... Setting up texlive-fonts-recommended (2024.20250309-1) ... Setting up libgtk-3-common (3.24.49-2) ... Setting up pdf2svg (0.2.4-1) ... Setting up texlive-publishers (2024.20250309-2) ... Setting up texlive-science (2024.20250309-2) ... Setting up latexmk (1:4.86~ds-1) ... Setting up texlive-latex-extra (2024.20250309-2) ... Setting up texlive-bibtex-extra (2024.20250309-2) ... Setting up libgtk-3-0t64:i386 (3.24.49-2) ... Setting up gtkwave (3.3.121-1) ... Setting up libheif-plugin-dav1d:i386 (1.19.7-1) ... Setting up libheif-plugin-libde265:i386 (1.19.7-1) ... Setting up libheif1:i386 (1.19.7-1) ... Setting up libgd3:i386 (2.3.3-12+b1) ... Setting up libgvc6 (2.42.4-3) ... Setting up graphviz (2.42.4-3) ... Processing triggers for libc-bin (2.41-6) ... Processing triggers for systemd (257.4-3) ... Processing triggers for sgml-base (1.31) ... Setting up docutils-common (0.21.2+dfsg-2) ... Processing triggers for sgml-base (1.31) ... Setting up python3-docutils (0.21.2+dfsg-2) ... Setting up python3-pybtex-docutils (1.0.2-1) ... Setting up python3-sphinx (8.1.3-5) ... Setting up python3-sphinxcontrib.bibtex (2.6.2-1) ... Setting up python3-sphinx-press-theme (0.8.0-2) ... Processing triggers for ca-certificates (20241223) ... Updating certificates in /etc/ssl/certs... 0 added, 0 removed; done. Running hooks in /etc/ca-certificates/update.d... done. Processing triggers for tex-common (6.19) ... Running updmap-sys. This may take some time... done. Running mktexlsr /var/lib/texmf ... done. Building format(s) --all. This may take some time... done. Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: Running cd /build/reproducible-path/yosys-0.51/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../yosys_0.51-1_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.51-1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Daniel Gröber dpkg-source --before-build . dpkg-buildpackage: info: host architecture i386 dpkg-source: info: using options from yosys-0.51/debian/source/options: --extend-diff-ignore=(^|/)(config\.sub|config\.guess|install-sh|configure|depcomp|missing|aclocal\.m4|config\.h\.in)$ debian/rules clean PREFIX=/usr dh clean --with=python3 dh_auto_clean make -j22 clean make[1]: Entering directory '/build/reproducible-path/yosys-0.51' rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/opt/peepopt_pm.h passes/pmgen/test_pmgen_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/ice40_dsp_pm.h techlibs/ice40/ice40_wrapcarry_pm.h techlibs/microchip/microchip_dsp_pm.h techlibs/microchip/microchip_dsp_CREG_pm.h techlibs/microchip/microchip_dsp_cascade_pm.h techlibs/quicklogic/ql_dsp_macc_pm.h techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v techlibs/xilinx/xilinx_dsp_pm.h techlibs/xilinx/xilinx_dsp48a_pm.h techlibs/xilinx/xilinx_dsp_CREG_pm.h techlibs/xilinx/xilinx_dsp_cascade_pm.h techlibs/xilinx/xilinx_srl_pm.h yosys-abc yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/bitpattern.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/drivertools.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/hashlib.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/scopeinfo.h share/include/kernel/sexpr.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yosys_common.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/cmp2softlogic.v share/choices/kogge-stone.v share/choices/han-carlson.v share/choices/sklansky.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra_gw1n.v share/gowin/cells_xtra_gw2a.v share/gowin/cells_xtra_gw5a.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/microchip/arith_map.v share/microchip/cells_map.v share/microchip/cells_sim.v share/microchip/polarfire_dsp_map.v share/microchip/brams_defs.vh share/microchip/LSRAM_map.v share/microchip/LSRAM.txt share/microchip/uSRAM_map.v share/microchip/uSRAM.txt share/nanoxplore/arith_map.v share/nanoxplore/brams_init.vh share/nanoxplore/brams_map.v share/nanoxplore/brams.txt share/nanoxplore/cells_bb.v share/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_u.v share/nanoxplore/cells_map.v share/nanoxplore/cells_sim.v share/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_u.v share/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_u.v share/nanoxplore/io_map.v share/nanoxplore/latches_map.v share/nanoxplore/rf_init.vh share/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_u.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/common/cells_sim.v share/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/lut_map.v share/quicklogic/pp3/latches_map.v share/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_unmap.v share/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/opt/peepopt_pm.h passes/pmgen/test_pmgen_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk techlibs/ice40/ice40_dsp_pm.h techlibs/ice40/ice40_wrapcarry_pm.h techlibs/microchip/microchip_dsp_pm.h techlibs/microchip/microchip_dsp_CREG_pm.h techlibs/microchip/microchip_dsp_cascade_pm.h techlibs/quicklogic/ql_dsp_macc_pm.h techlibs/xilinx/xilinx_dsp_pm.h techlibs/xilinx/xilinx_dsp48a_pm.h techlibs/xilinx/xilinx_dsp_CREG_pm.h techlibs/xilinx/xilinx_dsp_cascade_pm.h techlibs/xilinx/xilinx_srl_pm.h .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata rm -f tests/arch/anlogic/run-test.mk tests/arch/ecp5/run-test.mk tests/arch/efinix/run-test.mk tests/arch/gatemate/run-test.mk tests/arch/gowin/run-test.mk tests/arch/ice40/run-test.mk tests/arch/intel_alm/run-test.mk tests/arch/machxo2/run-test.mk tests/arch/microchip/run-test.mk tests/arch/nanoxplore/run-test.mk tests/arch/nexus/run-test.mk tests/arch/quicklogic/pp3/run-test.mk tests/arch/quicklogic/qlf_k6n10f/run-test.mk tests/arch/xilinx/run-test.mk tests/opt/run-test.mk tests/sat/run-test.mk tests/sim/run-test.mk tests/svtypes/run-test.mk tests/techmap/run-test.mk tests/various/run-test.mk tests/verilog/run-test.mk make -C docs clean make[2]: Entering directory '/build/reproducible-path/yosys-0.51/docs' make -C source/code_examples/extensions clean make -C source/code_examples/fifo clean make -C source/code_examples/intro clean make -C source/code_examples/macc clean make -C source/code_examples/opt clean make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' rm -f *.d *.so *.dot make -C source/code_examples/scrambler clean make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' rm -f *.dot make -C source/code_examples/selections clean make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' rm -f *.dot make -C source/code_examples/show clean make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' rm -f *.dot make -C source/code_examples/stubnets clean make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' rm -f *.dot make -C source/code_examples/synth_flow clean make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' rm -f *.dot make -C source/code_examples/techmap clean make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' rm -rf *.dot rm -f fifo.out fifo.stat make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' rm -rf *.dot make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' rm -f test1.log test2.log test3.log make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' rm -f *.dot make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' rm -f *.dot make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' rm -f stubnets.so stubnets.d make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' rm -f sumprod.out rm -f example.out make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' rm -rf build/* rm -rf source/cmd util/__pycache__ rm -rf source/generated make -C source/_images clean make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/_images' rm -f **/*.log **/*.aux rm -rf code_examples rm -f **/*.pdf **/*.svg make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/_images' make[2]: Leaving directory '/build/reproducible-path/yosys-0.51/docs' rm -rf docs/source/cmd docs/util/__pycache__ make[1]: Leaving directory '/build/reproducible-path/yosys-0.51' debian/rules execute_after_dh_auto_clean make[1]: Entering directory '/build/reproducible-path/yosys-0.51' rm -f debian/man/*.1 git --git-dir=debian/git-repo init hint: Using 'master' as the name for the initial branch. This default branch name hint: is subject to change. To configure the initial branch name to use in all hint: of your new repositories, which will suppress this warning, call: hint: hint: git config --global init.defaultBranch hint: hint: Names commonly chosen instead of 'master' are 'main', 'trunk' and hint: 'development'. The just-created branch can be renamed via this command: hint: hint: git branch -m Initialized empty Git repository in /build/reproducible-path/yosys-0.51/debian/git-repo/ git --git-dir=debian/git-repo --work-tree=. clean -Xdf \ -e '!/abc' \ -e '!/tests/simple_abc9/abc9.v' rm -rf debian/git-repo rm -f abc/abc-* # Help with transition from earlier versions of this package rm -rf debian/yosys-src.substvars debian/yosys-src/ rm -rf debian/yosys-tests.substvars debian/yosys-tests/ make[1]: Leaving directory '/build/reproducible-path/yosys-0.51' dh_clean rm -f debian/debhelper-build-stamp rm -rf debian/.debhelper/ rm -f -- debian/yosys.substvars debian/yosys-dev.substvars debian/yosys-abc.substvars debian/yosys-doc.substvars debian/files rm -fr -- debian/yosys/ debian/tmp/ debian/yosys-dev/ debian/yosys-abc/ debian/yosys-doc/ find . \( \( \ \( -path .\*/.git -o -path .\*/.svn -o -path .\*/.bzr -o -path .\*/.hg -o -path .\*/CVS -o -path .\*/.pc -o -path .\*/_darcs \) -prune -o -type f -a \ \( -name '#*#' -o -name '.*~' -o -name '*~' -o -name DEADJOE \ -o -name '*.orig' -o -name '*.rej' -o -name '*.bak' \ -o -name '.*.orig' -o -name .*.rej -o -name '.SUMS' \ -o -name TAGS -o \( -path '*/.deps/*' -a -name '*.P' \) \ \) -exec rm -f {} + \) -o \ \( -type d -a \( -name autom4te.cache -o -name __pycache__ \) -prune -exec rm -rf {} + \) \) debian/rules binary PREFIX=/usr dh binary --with=python3 dh_update_autotools_config dh_autoreconf debian/rules override_dh_auto_configure make[1]: Entering directory '/build/reproducible-path/yosys-0.51' printf '%s\n' \ 'CONFIG := gcc' \ 'STRIP=:' \ > Makefile.conf make[1]: Leaving directory '/build/reproducible-path/yosys-0.51' debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.51' dh_auto_build -- all make -j22 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/reproducible-path/yosys-0.51' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common g++ -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420)\"; }" > kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p kernel/ python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ g++ -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ g++ -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ g++ -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ g++ -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc mkdir -p kernel/ g++ -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ g++ -o kernel/tclapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/tclapi.cc mkdir -p kernel/ g++ -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ g++ -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ g++ -o kernel/cost.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc mkdir -p kernel/ mkdir -p kernel/ g++ -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ g++ -o kernel/scopeinfo.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/scopeinfo.cc g++ -o kernel/qcsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ g++ -o kernel/mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ g++ -o kernel/ffmerge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ mkdir -p kernel/ g++ -o kernel/ff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ g++ -o kernel/yw.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc g++ -o kernel/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc g++ -o kernel/fmt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc 'abc' comes from a tarball. Continuing. mkdir -p kernel/ g++ -o kernel/sexpr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/sexpr.cc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ g++ -o kernel/drivertools.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/drivertools.cc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ g++ -o kernel/functional.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/functional.cc mkdir -p kernel/ g++ -o kernel/fstdata.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ g++ -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ g++ -o libs/json11/json11.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ g++ -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ g++ -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc mkdir -p libs/minisat/ g++ -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc mkdir -p libs/minisat/ g++ -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ g++ -o libs/fst/fstapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ g++ -o libs/fst/fastlz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ g++ -o libs/fst/lz4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p libs/subcircuit/ g++ -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p frontends/aiger/ g++ -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/aiger2/ g++ -o frontends/aiger2/xaiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger2/xaiger.cc mkdir -p frontends/ast/ g++ -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ g++ -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ g++ -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ g++ -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ g++ -o frontends/ast/ast_binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ g++ -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ g++ -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ g++ -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ g++ -o frontends/rpc/rpc_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ g++ -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ g++ -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ g++ -o passes/cmds/exec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ g++ -o passes/cmds/add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ g++ -o passes/cmds/delete.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ g++ -o passes/cmds/design.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ g++ -o passes/cmds/select.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ g++ -o passes/cmds/show.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ g++ -o passes/cmds/viz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc mkdir -p passes/cmds/ g++ -o passes/cmds/rename.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ g++ -o passes/cmds/autoname.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scatter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setundef.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitnets.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitcells.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc mkdir -p passes/cmds/ g++ -o passes/cmds/stat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ g++ -o passes/cmds/internal_stats.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/internal_stats.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setattr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ g++ -o passes/cmds/copy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ g++ -o passes/cmds/glift.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ g++ -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ g++ -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ g++ -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ g++ -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ g++ -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ g++ -o passes/cmds/plugin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ g++ -o passes/cmds/check.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ g++ -o passes/cmds/edgetypes.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ g++ -o passes/cmds/portlist.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chformal.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chtype.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ g++ -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ g++ -o passes/cmds/ltp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ g++ -o passes/cmds/bugpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scratchpad.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ g++ -o passes/cmds/printattrs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ g++ -o passes/cmds/sta.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ g++ -o passes/cmds/clean_zerowidth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/cmds/ g++ -o passes/cmds/xprop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/cmds/ g++ -o passes/cmds/dft_tag.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc mkdir -p passes/cmds/ g++ -o passes/cmds/future.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc mkdir -p passes/cmds/ g++ -o passes/cmds/box_derive.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/box_derive.cc mkdir -p passes/cmds/ g++ -o passes/cmds/example_dt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/example_dt.cc mkdir -p passes/cmds/ mkdir -p passes/cmds/ g++ -o passes/cmds/portarcs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portarcs.cc g++ -o passes/cmds/wrapcell.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/wrapcell.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setenv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setenv.cc mkdir -p passes/cmds/ g++ -o passes/cmds/abstract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/abstract.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc In file included from ./kernel/yosys.h:42, from ./kernel/timinginfo.h:24, from passes/cmds/portarcs.cc:21: passes/cmds/portarcs.cc: In member function 'virtual void {anonymous}::PortarcsPass::execute(std::vector >, Yosys::RTLIL::Design*)': passes/cmds/portarcs.cc:201:35: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector >::size_type' {aka 'unsigned int'} [-Wformat=] 201 | log_debug("Allocated %lux%d\n", allocated.size(), inputs.size()); | ^~~~~~~~~~~~~~~~~~~~ ~~~~~~~~~~~~~~~~ | | | std::vector >::size_type {aka unsigned int} ./kernel/log.h:151:52: note: in definition of macro 'log_debug' 151 | # define log_debug(...) do { if (ys_debug(1)) log(__VA_ARGS__); } while (0) | ^~~~~~~~~~~ passes/cmds/portarcs.cc:201:48: note: format string is defined here 201 | log_debug("Allocated %lux%d\n", allocated.size(), inputs.size()); | ~~^ | | | long unsigned int | %u mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_status.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_purge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc In member function '__ct ', inlined from 'std::__new_allocator<(anonymous namespace)::Slice>::construct<(anonymous namespace)::Slice, (anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::Slice*, (anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/new_allocator.h:191:4, inlined from 'std::allocator_traits >::construct<(anonymous namespace)::Slice, (anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>(std::allocator<(anonymous namespace)::Slice>&, (anonymous namespace)::Slice*, (anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/alloc_traits.h:575:17, inlined from 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::_M_realloc_append<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/vector.tcc:634:26, inlined from 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::emplace_back<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)(anonymous namespace)::Slice& [clone .isra.0]' at /usr/include/c++/14/bits/vector.tcc:123:21: passes/cmds/abstract.cc:43:23: warning: 'value' may be used uninitialized [-Wmaybe-uninitialized] 43 | first = parse_index(first_begin, first_end, slice); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ passes/cmds/abstract.cc: In member function 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::emplace_back<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)(anonymous namespace)::Slice& [clone .isra.0]': passes/cmds/abstract.cc:48:21: note: 'value' was declared here 48 | int value; | ^~~~~ In member function '__ct ', inlined from 'std::__new_allocator<(anonymous namespace)::Slice>::construct<(anonymous namespace)::Slice, (anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::Slice*, (anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/new_allocator.h:191:4, inlined from 'std::allocator_traits >::construct<(anonymous namespace)::Slice, (anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>(std::allocator<(anonymous namespace)::Slice>&, (anonymous namespace)::Slice*, (anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/alloc_traits.h:575:17, inlined from 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::_M_realloc_append<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/vector.tcc:634:26, inlined from 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::emplace_back<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)(anonymous namespace)::Slice& [clone .isra.0]' at /usr/include/c++/14/bits/vector.tcc:123:21: passes/cmds/abstract.cc:44:22: warning: 'value' may be used uninitialized [-Wmaybe-uninitialized] 44 | last = parse_index(last_begin, last_end, slice); | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ passes/cmds/abstract.cc: In member function 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::emplace_back<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)(anonymous namespace)::Slice& [clone .isra.0]': passes/cmds/abstract.cc:48:21: note: 'value' was declared here 48 | int value; | ^~~~~ In member function '__ct ', inlined from 'std::__new_allocator<(anonymous namespace)::Slice>::construct<(anonymous namespace)::Slice, (anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::Slice*, (anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/new_allocator.h:191:4, inlined from 'std::allocator_traits >::construct<(anonymous namespace)::Slice, (anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>(std::allocator<(anonymous namespace)::Slice>&, (anonymous namespace)::Slice*, (anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/alloc_traits.h:575:17, inlined from 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::emplace_back<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)(anonymous namespace)::Slice& [clone .isra.0]' at /usr/include/c++/14/bits/vector.tcc:117:30: passes/cmds/abstract.cc:43:23: warning: 'value' may be used uninitialized [-Wmaybe-uninitialized] 43 | first = parse_index(first_begin, first_end, slice); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ passes/cmds/abstract.cc: In member function 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::emplace_back<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)(anonymous namespace)::Slice& [clone .isra.0]': passes/cmds/abstract.cc:48:21: note: 'value' was declared here 48 | int value; | ^~~~~ In member function '__ct ', inlined from 'std::__new_allocator<(anonymous namespace)::Slice>::construct<(anonymous namespace)::Slice, (anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::Slice*, (anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/new_allocator.h:191:4, inlined from 'std::allocator_traits >::construct<(anonymous namespace)::Slice, (anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>(std::allocator<(anonymous namespace)::Slice>&, (anonymous namespace)::Slice*, (anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)void' at /usr/include/c++/14/bits/alloc_traits.h:575:17, inlined from 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::emplace_back<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)(anonymous namespace)::Slice& [clone .isra.0]' at /usr/include/c++/14/bits/vector.tcc:117:30: passes/cmds/abstract.cc:44:22: warning: 'value' may be used uninitialized [-Wmaybe-uninitialized] 44 | last = parse_index(last_begin, last_end, slice); | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ passes/cmds/abstract.cc: In member function 'std::vector<(anonymous namespace)::Slice, std::allocator<(anonymous namespace)::Slice> >::emplace_back<(anonymous namespace)::SliceIndices, std::__cxx11::basic_string, std::allocator >&>((anonymous namespace)::SliceIndices&&, std::__cxx11::basic_string, std::allocator >&)(anonymous namespace)::Slice& [clone .isra.0]': passes/cmds/abstract.cc:48:21: note: 'value' was declared here 48 | int value; | ^~~~~ mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_mark.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_detect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_expand.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_recode.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_info.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_export.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/uniquify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/submod.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/keep_hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/keep_hierarchy.cc mkdir -p passes/memory/ g++ -o passes/memory/memory.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_narrow.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_libmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bmux2rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ g++ -o passes/memory/memlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ g++ -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_feedback.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_priority.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_widen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_expr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ g++ -o passes/opt/share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ g++ -o passes/opt/wreduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_demorgan.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ g++ -o passes/opt/rmports.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut_ins.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_ffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ g++ -o passes/opt/pmux2shiftx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ g++ -o passes/opt/muxpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/opt/ && python3 passes/pmgen/pmgen.py -o passes/opt/peepopt_pm.h -p peepopt passes/opt/peepopt_shiftmul_right.pmg passes/opt/peepopt_shiftmul_left.pmg passes/opt/peepopt_shiftadd.pmg passes/opt/peepopt_muldiv.pmg passes/opt/peepopt_formal_clockgateff.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p techlibs/ice40/ && python3 passes/pmgen/pmgen.py -o techlibs/ice40/ice40_dsp_pm.h -p ice40_dsp techlibs/ice40/ice40_dsp.pmg mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_srl_pm.h -p xilinx_srl techlibs/xilinx/xilinx_srl.pmg mkdir -p passes/proc/ g++ -o passes/proc/proc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_prune.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rmdead.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_arst.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dlatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_memwr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ g++ -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ g++ -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ g++ -o passes/sat/eval.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ g++ -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ g++ -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ g++ -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ g++ -o passes/sat/assertpmux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ g++ -o passes/sat/clk2fflogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ g++ -o passes/sat/async2sync.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ g++ -o passes/sat/formalff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ g++ -o passes/sat/supercover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ g++ -o passes/sat/fmcombine.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ g++ -o passes/sat/mutate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ g++ -o passes/sat/cutpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ g++ -o passes/sat/fminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ g++ -o passes/sat/recover_names.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ g++ -o passes/sat/qbfsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/sat/ g++ -o passes/sat/synthprop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flatten.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ g++ -o passes/techmap/techmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/simplemap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflibmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/maccmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/booth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc mkdir -p passes/techmap/ g++ -o passes/techmap/libparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_exe.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_ops.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc_new.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc_new.cc mkdir -p passes/techmap/ g++ -o passes/techmap/iopadmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/clkbufmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/hilomap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_fa.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_counter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ g++ -o passes/techmap/alumacc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/pmuxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bmuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/demuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bwmuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/muxcover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ g++ -o passes/techmap/aigmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/tribuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/lut2mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ g++ -o passes/techmap/nlutmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/shregmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/deminout.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ g++ -o passes/techmap/insbuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bufnorm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bufnorm.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmvcp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/zinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflegalize.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffunmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flowmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extractinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/techmap/ g++ -o passes/techmap/cellmatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/cellmatch.cc mkdir -p passes/techmap/ g++ -o passes/techmap/clockgate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clockgate.cc mkdir -p passes/tests/ g++ -o passes/tests/test_autotb.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ g++ -o passes/tests/test_cell.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ g++ -o passes/tests/test_abcloop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ g++ -o backends/aiger/aiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ g++ -o backends/aiger/xaiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/aiger2/ g++ -o backends/aiger2/aiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger2/aiger.cc mkdir -p backends/blif/ g++ -o backends/blif/blif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ g++ -o backends/btor/btor.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ g++ -o backends/cxxrtl/cxxrtl_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ g++ -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ g++ -o backends/firrtl/firrtl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/functional/ g++ -o backends/functional/cxx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/cxx.cc mkdir -p backends/functional/ g++ -o backends/functional/smtlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib.cc mkdir -p backends/functional/ g++ -o backends/functional/smtlib_rosette.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib_rosette.cc mkdir -p backends/functional/ g++ -o backends/functional/test_generic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/test_generic.cc mkdir -p backends/intersynth/ g++ -o backends/intersynth/intersynth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ g++ -o backends/jny/jny.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ g++ -o backends/json/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ g++ -o backends/rtlil/rtlil_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ g++ -o backends/simplec/simplec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ g++ -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ g++ -o backends/smv/smv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ g++ -o backends/spice/spice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ g++ -o backends/table/table.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ g++ -o backends/verilog/verilog_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ g++ -o techlibs/achronix/synth_achronix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/synth_anlogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_eqn.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ g++ -o techlibs/common/synth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ g++ -o techlibs/common/prep.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/synth_coolrunner2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_sop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_fixup.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ g++ -o techlibs/easic/synth_easic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ g++ -o techlibs/ecp5/synth_ecp5.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/synth_efinix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/efinix_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/fabulous/ g++ -o techlibs/fabulous/synth_fabulous.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/synth_gatemate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/gatemate_foldinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ g++ -o techlibs/gowin/synth_gowin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/synth_greenpak4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/greenpak4_dffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/synth_ice40.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_braminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_dsp.cc mkdir -p techlibs/ice40/ && python3 passes/pmgen/pmgen.py -o techlibs/ice40/ice40_wrapcarry_pm.h -p ice40_wrapcarry techlibs/ice40/ice40_wrapcarry.pmg mkdir -p techlibs/intel/ g++ -o techlibs/intel/synth_intel.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ g++ -o techlibs/intel_alm/synth_intel_alm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/lattice/ mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/synth_lattice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc g++ -o techlibs/lattice/lattice_gsr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/synth_microchip.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/synth_microchip.cc mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/microchip_dffopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dffopt.cc mkdir -p techlibs/microchip/ && python3 passes/pmgen/pmgen.py -o techlibs/microchip/microchip_dsp_pm.h -p microchip_dsp techlibs/microchip/microchip_dsp.pmg mkdir -p techlibs/microchip/ && python3 passes/pmgen/pmgen.py -o techlibs/microchip/microchip_dsp_CREG_pm.h -p microchip_dsp_CREG techlibs/microchip/microchip_dsp_CREG.pmg mkdir -p techlibs/microchip/ && python3 passes/pmgen/pmgen.py -o techlibs/microchip/microchip_dsp_cascade_pm.h -p microchip_dsp_cascade techlibs/microchip/microchip_dsp_cascade.pmg mkdir -p techlibs/nanoxplore/ g++ -o techlibs/nanoxplore/synth_nanoxplore.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/synth_nanoxplore.cc mkdir -p techlibs/nanoxplore/ g++ -o techlibs/nanoxplore/nx_carry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/nx_carry.cc mkdir -p techlibs/nexus/ g++ -o techlibs/nexus/synth_nexus.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/synth_quicklogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_merge.cc mkdir -p techlibs/quicklogic/ mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_types.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_types.cc g++ -o techlibs/quicklogic/ql_dsp_simd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_simd.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_io_regs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_io_regs.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_ioff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_ioff.cc mkdir -p techlibs/quicklogic/ && python3 passes/pmgen/pmgen.py -o techlibs/quicklogic/ql_dsp_macc_pm.h -p ql_dsp_macc techlibs/quicklogic/ql_dsp_macc.pmg mkdir -p techlibs/sf2/ g++ -o techlibs/sf2/synth_sf2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/synth_xilinx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_dffopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_dsp_pm.h -p xilinx_dsp techlibs/xilinx/xilinx_dsp.pmg mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_dsp48a_pm.h -p xilinx_dsp48a techlibs/xilinx/xilinx_dsp48a.pmg mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG techlibs/xilinx/xilinx_dsp_CREG.pmg mkdir -p techlibs/xilinx/ && python3 passes/pmgen/pmgen.py -o techlibs/xilinx/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade techlibs/xilinx/xilinx_dsp_cascade.pmg mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_srl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_srl.cc sed -e 's#@CXXFLAGS@#-g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=#;' \ -e 's#@CXX@#g++#;' -e 's#@LINKFLAGS@#-rdynamic#;' -e 's#@LIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ g++ -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/bitpattern.h share/include/kernel/bitpattern.h mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/cost.h share/include/kernel/cost.h mkdir -p share/include/kernel/ cp "./"/kernel/drivertools.h share/include/kernel/drivertools.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h mkdir -p share/include/kernel/ cp "./"/kernel/fmt.h share/include/kernel/fmt.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/scopeinfo.h share/include/kernel/scopeinfo.h mkdir -p share/include/kernel/ cp "./"/kernel/sexpr.h share/include/kernel/sexpr.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys_common.h share/include/kernel/yosys_common.h mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v mkdir -p share/choices cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v mkdir -p share/choices cp "./"/techlibs/common/choices/han-carlson.v share/choices/han-carlson.v mkdir -p share/choices cp "./"/techlibs/common/choices/sklansky.v share/choices/sklansky.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra_gw1n.v share/gowin/cells_xtra_gw1n.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra_gw2a.v share/gowin/cells_xtra_gw2a.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra_gw5a.v share/gowin/cells_xtra_gw5a.v touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v mkdir -p share/microchip mkdir -p share/microchip cp "./"/techlibs/microchip/arith_map.v share/microchip/arith_map.v cp "./"/techlibs/microchip/cells_map.v share/microchip/cells_map.v mkdir -p share/microchip mkdir -p share/microchip cp "./"/techlibs/microchip/cells_sim.v share/microchip/cells_sim.v cp "./"/techlibs/microchip/polarfire_dsp_map.v share/microchip/polarfire_dsp_map.v mkdir -p share/microchip mkdir -p share/microchip cp "./"/techlibs/microchip/brams_defs.vh share/microchip/brams_defs.vh cp "./"/techlibs/microchip/LSRAM_map.v share/microchip/LSRAM_map.v mkdir -p share/microchip mkdir -p share/microchip cp "./"/techlibs/microchip/LSRAM.txt share/microchip/LSRAM.txt cp "./"/techlibs/microchip/uSRAM_map.v share/microchip/uSRAM_map.v mkdir -p share/microchip mkdir -p share/nanoxplore cp "./"/techlibs/microchip/uSRAM.txt share/microchip/uSRAM.txt cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams_init.vh share/nanoxplore/brams_init.vh cp "./"/techlibs/nanoxplore/brams_map.v share/nanoxplore/brams_map.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams.txt share/nanoxplore/brams.txt cp "./"/techlibs/nanoxplore/cells_bb.v share/nanoxplore/cells_bb.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v cp "./"/techlibs/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_m.v mkdir -p share/nanoxplore mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v cp "./"/techlibs/nanoxplore/cells_map.v share/nanoxplore/cells_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim.v share/nanoxplore/cells_sim.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_u.v share/nanoxplore/cells_sim_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_u.v share/nanoxplore/cells_wrap_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/latches_map.v share/nanoxplore/latches_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_init.vh share/nanoxplore/rf_init.vh mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_l.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_m.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_u.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_u.v share/nanoxplore/rf_rams_map_u.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic/common cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v cp "./"/techlibs/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/cells_sim.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v cp "./"/techlibs/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_unmap.v share/quicklogic/pp3/abc9_unmap.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams.txt mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p abc && make -C abc -f "/build/reproducible-path/yosys-0.51/abc/Makefile" ABCSRC="/build/reproducible-path/yosys-0.51/abc" CC="g++" CXX="g++" ABC_USE_LIBSTDCXX=1 ABC_USE_NAMESPACE=abc VERBOSE= ARCHFLAGS="-DABC_USE_STDINT_H """ PROG="abc" MSG_PREFIX="-> ABC: " mkdir -p kernel/ g++ -o kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.cc make[3]: Entering directory '/build/reproducible-path/yosys-0.51/abc' -> ABC: Using CC=g++ -> ABC: Using CXX=g++ -> ABC: Using AR=ar -> ABC: Using LD=g++ mkdir -p kernel/ g++ -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc -> ABC: Compiling in namespace -> ABC: Compiling with CUDD -> ABC: Using libreadline mkdir -p frontends/rtlil/ -> ABC: Using pthreads -> ABC: Found GCC_VERSION 14 g++ -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc -> ABC: Found GCC_MAJOR>=5 -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -> ABC: `` Generating dependency: /src/map/if/acd/ac_wrapper.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/acd/ac_wrapper.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/map/if/acd/ac_wrapper.cpp > src/map/if/acd/ac_wrapper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc -> ABC: `` Generating dependency: /src/opt/rar/rewire_miaig.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rar/rewire_miaig.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/rar/rewire_miaig.cpp > src/opt/rar/rewire_miaig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose/AbcGlucose.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp > src/sat/glucose/AbcGlucose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose/AbcGlucoseCmd.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucoseCmd.cpp > src/sat/glucose/AbcGlucoseCmd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/Glucose.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose/Glucose.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Glucose.cpp > src/sat/glucose/Glucose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc -> ABC: `` Generating dependency: /src/sat/glucose/Options.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose/Options.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Options.cpp > src/sat/glucose/Options.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/SimpSolver.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose/SimpSolver.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/SimpSolver.cpp > src/sat/glucose/SimpSolver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/System.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose/System.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/System.cpp > src/sat/glucose/System.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucose2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/AbcGlucose2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucose2.cpp > src/sat/glucose2/AbcGlucose2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucoseCmd2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/AbcGlucoseCmd2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucoseCmd2.cpp > src/sat/glucose2/AbcGlucoseCmd2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/Glucose2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/Glucose2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Glucose2.cpp > src/sat/glucose2/Glucose2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/Options2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/Options2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Options2.cpp > src/sat/glucose2/Options2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/opt/ g++ -o passes/opt/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/peepopt.cc -> ABC: `` Generating dependency: /src/sat/glucose2/SimpSolver2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/SimpSolver2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/SimpSolver2.cpp > src/sat/glucose2/SimpSolver2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/System2.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/glucose2/System2.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/System2.cpp > src/sat/glucose2/System2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p passes/pmgen/ g++ -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc -> ABC: `` Generating dependency: /src/aig/gia/giaRrr.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaRrr.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaRrr.cpp > src/aig/gia/giaRrr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTransduction.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTransduction.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTransduction.cpp > src/aig/gia/giaTransduction.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_wrapcarry.cc -> ABC: `` Generating dependency: /src/aig/gia/giaTtopt.cpp /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTtopt.cpp` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTtopt.cpp > src/aig/gia/giaTtopt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c > src/base/abc/abcAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcBarBuf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c > src/base/abc/abcBarBuf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/microchip_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dsp.cc -> ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c > src/base/abc/abcBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c > src/base/abc/abcCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcDfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFanio.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcFanio.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c > src/base/abc/abcFanio.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcFanOrder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFunc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcFunc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHie.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcHie.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieCec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcHieCec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c > src/base/abc/abcHieCec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieGia.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcHieGia.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c > src/base/abc/abcHieGia.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieNew.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcHieNew.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c > src/base/abc/abcHieNew.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcLatch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcLatch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcMinBase.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcMinBase.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c > src/base/abc/abcMinBase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcNames.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcNames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c > src/base/abc/abcNames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcNetlist.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcNetlist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c > src/base/abc/abcNetlist.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcNtk.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c > src/base/abc/abcNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcObj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c > src/base/abc/abcObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcRefs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcRefs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c > src/base/abc/abcRefs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dsp.cc -> ABC: `` Generating dependency: /src/base/abc/abcShow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcSop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abc/abcUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c > src/base/abc/abcUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c > src/base/abci/abc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAttach.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcAttach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c > src/base/abci/abcAttach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAuto.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcAuto.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c > src/base/abci/abcAuto.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBalance.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcBalance.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c > src/base/abci/abcBalance.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBidec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcBidec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c > src/base/abci/abcBidec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcBm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c > src/base/abci/abcBm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBmc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcBmc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c > src/base/abci/abcBmc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCas.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcCas.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c > src/base/abci/abcCas.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCascade.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcCascade.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCollapse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcCollapse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c > src/base/abci/abcCollapse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p ./ g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Generating dependency: /src/base/abci/abcCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c > src/base/abci/abcCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDar.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDar.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c > src/base/abci/abcDar.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDebug.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDebug.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c > src/base/abci/abcDebug.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c > src/base/abci/abcDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDetect.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDetect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDress.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDress.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c > src/base/abci/abcDress.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDress2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDress2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c > src/base/abci/abcDress2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDress3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDress3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c > src/base/abci/abcDress3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d mkdir -p share/gatemate cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p share/quicklogic/qlf_k6n10f cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER=\\"0.51\\" -DYOSYS_MAJOR=0 -DYOSYS_MINOR=51 -DYOSYS_COMMIT=0.51 -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc -> ABC: `` Generating dependency: /src/base/abci/abcEco.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcEco.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExact.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcExact.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c > src/base/abci/abcExact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExtract.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcExtract.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFraig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcFraig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFx.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcFx.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c > src/base/abci/abcFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFxu.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcFxu.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcGen.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c > src/base/abci/abcGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcHaig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcHaig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIfif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIfif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c > src/base/abci/abcIfif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIfMux.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIfMux.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIvy.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcIvy.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLog.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcLog.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c > src/base/abci/abcLog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c > src/base/abci/abcLut.d -> ABC: `` Generating dependency: /src/base/abci/abcLutmin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcLutmin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c > src/base/abci/abcLutmin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c > src/base/abci/abcMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMerge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c > src/base/abci/abcMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMini.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMini.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMiter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMiter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMulti.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcMulti.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c > src/base/abci/abcMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNpn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcNpn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c > src/base/abci/abcNpn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcNpnSave.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c > src/base/abci/abcNpnSave.d -> ABC: `` Generating dependency: /src/base/abci/abcOrchestration.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcOrchestration.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c > src/base/abci/abcOrchestration.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOdc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcOdc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c > src/base/abci/abcOdc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOrder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcOrder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c > src/base/abci/abcOrder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPart.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcPart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d -> ABC: `` Generating dependency: /src/base/abci/abcPrint.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c > src/base/abci/abcPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcProve.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcProve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQbf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcQbf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQuant.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcQuant.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c > src/base/abci/abcQuant.d -> ABC: `` Generating dependency: /src/base/abci/abcRec3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRec3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c > src/base/abci/abcRec3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReconv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcReconv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReach.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcReach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRefactor.c -> ABC: `` Generating dependency: /src/base/abci/abcRenode.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRefactor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRenode.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReorder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcReorder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c > src/base/abci/abcReorder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRestruct.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRestruct.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcResub.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcResub.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c > src/base/abci/abcResub.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRewrite.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRewrite.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c > src/base/abci/abcRewrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRpo.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRpo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c > src/base/abci/abcRpo.d -> ABC: `` Generating dependency: /src/base/abci/abcRr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c > src/base/abci/abcRr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRunGen.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcRunGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSaucy.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSaucy.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c > src/base/abci/abcSaucy.d -> ABC: `` Generating dependency: /src/base/abci/abcScorr.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcScorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSense.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSense.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c > src/base/abci/abcSense.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c > src/base/abci/abcSpeedup.d -> ABC: `` Generating dependency: /src/base/abci/abcStrash.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcStrash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c > src/base/abci/abcStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSweep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c > src/base/abci/abcSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSymm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcSymm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c > src/base/abci/abcSymm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcTim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTiming.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcTiming.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c > src/base/abci/abcTiming.d -> ABC: `` Generating dependency: /src/base/abci/abcUnate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcUnate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcUnreach.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcUnreach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcVerify.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcVerify.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcXsim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/abci/abcXsim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c > src/base/abci/abcXsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c > src/base/cmd/cmd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdAlias.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c > src/base/cmd/cmdApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdAuto.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdFlag.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdHist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdLoad.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdPlugin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c > src/base/cmd/cmdPlugin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdStarter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c > src/base/cmd/cmdStarter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cmd/cmdUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/io.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/io.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c > src/base/io/io.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioJson.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioJson.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadAiger.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadAiger.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBaf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBaf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c > src/base/io/ioReadBaf.d -> ABC: `` Generating dependency: /src/base/io/ioReadBblif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBblif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBench.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBench.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c > src/base/io/ioReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d -> ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c > src/base/io/ioReadDsd.d -> ABC: `` Generating dependency: /src/base/io/ioReadEdif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadEdif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c > src/base/io/ioReadEdif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadEqn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPla.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadPla.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c > src/base/io/ioReadPla.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadPlaMo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d -> ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioReadVerilog.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c > src/base/io/ioReadVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c > src/base/io/ioUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteAiger.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBaf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c > src/base/io/ioWriteBaf.d -> ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c -> ABC: `` Generating dependency: /src/base/io/ioWriteBench.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBblif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c > src/base/io/ioWriteBblif.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBench.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c > src/base/io/ioWriteBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c > src/base/io/ioWriteBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBlifMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c > src/base/io/ioWriteBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBook.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteBook.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c > src/base/io/ioWriteBook.d -> ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d -> ABC: `` Generating dependency: /src/base/io/ioWriteDot.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteDot.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteEqn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEdgelist.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteEdgelist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c > src/base/io/ioWriteEdgelist.d -> ABC: `` Generating dependency: /src/base/io/ioWriteGml.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteGml.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c > src/base/io/ioWriteGml.d -> ABC: `` Generating dependency: /src/base/io/ioWriteHMetis.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteHMetis.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteHMetis.c > src/base/io/ioWriteHMetis.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteList.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteList.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d -> ABC: `` Generating dependency: /src/base/io/ioWritePla.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWritePla.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteVerilog.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c > src/base/io/ioWriteVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/io/ioWriteSmv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c > src/base/io/ioWriteSmv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/main.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/main.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c > src/base/main/main.d -> ABC: `` Generating dependency: /src/base/main/mainFrame.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainFrame.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c > src/base/main/mainFrame.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainInit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainInit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c > src/base/main/mainInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c > src/base/main/mainLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainReal.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainReal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c > src/base/main/mainReal.d -> ABC: `` Generating dependency: /src/base/main/libSupport.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/libSupport.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainUtils.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/main/mainUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c > src/base/main/mainUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorBits.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorBits.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c > src/base/exor/exorBits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorCubes.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorCubes.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c > src/base/exor/exorCubes.d -> ABC: `` Generating dependency: /src/base/exor/exorLink.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorLink.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c > src/base/exor/exorLink.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorList.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorList.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c > src/base/exor/exorList.d -> ABC: `` Generating dependency: /src/base/exor/exorUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/exor/exorUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d -> ABC: `` Generating dependency: /src/base/ver/verFormula.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verFormula.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c > src/base/ver/verFormula.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verParse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verParse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c > src/base/ver/verParse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verStream.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/ver/verStream.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c > src/base/ver/verStream.d -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c > src/base/wlc/wlcAbs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbs2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c > src/base/wlc/wlcAbs2.d -> ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcPth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcPth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c > src/base/wlc/wlcPth.d -> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcBlast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c > src/base/wlc/wlcCom.d -> ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcGraft.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcJson.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcJson.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c > src/base/wlc/wlcJson.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcMem.c -> ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c > src/base/wlc/wlcMem.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcNdr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c > src/base/wlc/wlcNdr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c > src/base/wlc/wlcNtk.d -> ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcReadSmt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c > src/base/wlc/wlcReadSmt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcReadVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcReadVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c > src/base/wlc/wlcReadVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c > src/base/wlc/wlcSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcShow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c > src/base/wlc/wlcShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcStdin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c > src/base/wlc/wlcStdin.d -> ABC: `` Generating dependency: /src/base/wlc/wlcUif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcUif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c > src/base/wlc/wlcUif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcWin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c > src/base/wlc/wlcWin.d -> ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wlc/wlcWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wln.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wln.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c > src/base/wln/wln.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnBlast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnBlast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c > src/base/wln/wlnBlast.d -> ABC: `` Generating dependency: /src/base/wln/wlnCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c > src/base/wln/wlnCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnGuide.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnGuide.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c > src/base/wln/wlnGuide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d -> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnNdr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnNtk.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnObj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c > src/base/wln/wlnObj.d -> ABC: `` Generating dependency: /src/base/wln/wlnRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c > src/base/wln/wlnRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRetime.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnRetime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c > src/base/wln/wlnRetime.d -> ABC: `` Generating dependency: /src/base/wln/wlnRtl.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnRtl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c > src/base/wln/wlnRtl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c -> ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnWlc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c > src/base/wln/wlnWlc.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/wln/wlnWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d -> ABC: `` Generating dependency: /src/base/acb/acbAbc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c > src/base/acb/acbAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d -> ABC: `` Generating dependency: /src/base/acb/acbCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbFunc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbFunc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c > src/base/acb/acbFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbMfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbMfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c > src/base/acb/acbMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbPush.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbPush.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c > src/base/acb/acbPush.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbSets.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbSets.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c > src/base/acb/acbSets.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbTest.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbTest.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c > src/base/acb/acbTest.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/acb/acbUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c > src/base/acb/acbUtil.d -> ABC: `` Generating dependency: /src/base/bac/bacBlast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacBlast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c > src/base/bac/bacBlast.d -> ABC: `` Generating dependency: /src/base/bac/bacBac.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacBac.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c > src/base/bac/bacLib.d -> ABC: `` Generating dependency: /src/base/bac/bacNtk.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPrsBuild.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacPrsBuild.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c > src/base/bac/bacPrsBuild.d -> ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacPrsTrans.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c > src/base/bac/bacPrsTrans.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacPtr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c > src/base/bac/bacPtr.d -> ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacPtrAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c > src/base/bac/bacPtrAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d -> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacReadSmt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d -> ABC: `` Generating dependency: /src/base/bac/bacReadVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacReadVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c > src/base/bac/bacWriteBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacWriteSmt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d -> ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/bac/bacWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c > src/base/bac/bacWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaBlast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaBlast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c > src/base/cba/cbaBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaCba.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaCba.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d -> ABC: `` Generating dependency: /src/base/cba/cbaNtk.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d -> ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c -> ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaReadBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c > src/base/cba/cbaReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaReadVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c > src/base/cba/cbaReadVer.d -> ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaWriteBlif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c > src/base/cba/cbaWriteBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/cba/cbaWriteVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c > src/base/cba/cbaWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c > src/base/pla/plaCom.d -> ABC: `` Generating dependency: /src/base/pla/plaHash.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaHash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c > src/base/pla/plaMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaMerge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c > src/base/pla/plaMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaSimple.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaSimple.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c > src/base/pla/plaSimple.d -> ABC: `` Generating dependency: /src/base/pla/plaRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c > src/base/pla/plaRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaWrite.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/pla/plaWrite.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/test/test.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/base/test/test.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c > src/base/test/test.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapper.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c > src/map/mapper/mapper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c > src/map/mapper/mapperCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c > src/map/mapper/mapperCore.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCreate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c > src/map/mapper/mapperCreate.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c > src/map/mapper/mapperCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperCutUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c > src/map/mapper/mapperCutUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c > src/map/mapper/mapperLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperMatch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c > src/map/mapper/mapperMatch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperRefs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c > src/map/mapper/mapperRefs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperSuper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c > src/map/mapper/mapperSuper.d -> ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperSwitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c > src/map/mapper/mapperSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c > src/map/mapper/mapperTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTime.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c > src/map/mapper/mapperTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTree.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperTree.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c > src/map/mapper/mapperTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c > src/map/mapper/mapperTruth.d -> ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c > src/map/mapper/mapperUtils.d -> ABC: `` Generating dependency: /src/map/mapper/mapperVec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mapper/mapperVec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c > src/map/mapper/mapperVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mio.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mio.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c > src/map/mio/mio.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioFunc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioFunc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c > src/map/mio/mioFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioParse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioParse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c > src/map/mio/mioParse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c > src/map/mio/mioRead.d -> ABC: `` Generating dependency: /src/map/mio/mioSop.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioUtils.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c > src/map/mio/mioSop.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mio/mioUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d -> ABC: `` Generating dependency: /src/map/super/super.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/super/super.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c > src/map/super/super.d -> ABC: `` Generating dependency: /src/map/super/superAnd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/super/superAnd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c > src/map/super/superAnd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/superGate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/super/superGate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c > src/map/super/superGate.d -> ABC: `` Generating dependency: /src/map/if/ifCom.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifCom.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCache.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifCache.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c > src/map/if/ifCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c > src/map/if/ifCut.d -> ABC: `` Generating dependency: /src/map/if/ifData2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifData2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c > src/map/if/ifData2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec07.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec07.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec08.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec08.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c > src/map/if/ifDec08.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec10.c -> ABC: `` Generating dependency: /src/map/if/ifDec16.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec10.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c > src/map/if/ifDec10.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec16.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec66.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec66.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec66.c > src/map/if/ifDec66.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec75.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDec75.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d -> ABC: `` Generating dependency: /src/map/if/ifDelay.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDelay.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c > src/map/if/ifDelay.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifLibBox.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifLibBox.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c > src/map/if/ifLibBox.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifLibLut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifLibLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d -> ABC: `` Generating dependency: /src/map/if/ifMan.c -> ABC: `` Generating dependency: /src/map/if/ifMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifMatch2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifMatch2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d -> ABC: `` Generating dependency: /src/map/if/ifReduce.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifReduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c > src/map/if/ifSat.d -> ABC: `` Generating dependency: /src/map/if/ifSelect.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifSelect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSeq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTest.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifTest.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c > src/map/if/ifTest.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTime.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c > src/map/if/ifTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c > src/map/if/ifTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTune.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifTune.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c > src/map/if/ifTune.d -> ABC: `` Generating dependency: /src/map/if/ifUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/if/ifUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c > src/map/if/ifUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapCore.c -> ABC: `` Generating dependency: /src/map/amap/amapGraph.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapGraph.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c > src/map/amap/amapLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapLiberty.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapLiberty.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c > src/map/amap/amapMan.d -> ABC: `` Generating dependency: /src/map/amap/amapMatch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapMatch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c > src/map/amap/amapMatch.d -> ABC: `` Generating dependency: /src/map/amap/amapMerge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d -> ABC: `` Generating dependency: /src/map/amap/amapOutput.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapOutput.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapParse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapParse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d -> ABC: `` Generating dependency: /src/map/amap/amapPerm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapPerm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c > src/map/amap/amapPerm.d -> ABC: `` Generating dependency: /src/map/amap/amapRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRule.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapRule.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c > src/map/amap/amapRule.d -> ABC: `` Generating dependency: /src/map/amap/amapUniq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/amap/amapUniq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c > src/map/amap/amapUniq.d -> ABC: `` Generating dependency: /src/map/cov/covBuild.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covBuild.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c > src/map/cov/covBuild.d -> ABC: `` Generating dependency: /src/map/cov/covCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c > src/map/cov/covCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinEsop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinEsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d -> ABC: `` Generating dependency: /src/map/cov/covMinMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c > src/map/cov/covMinMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinSop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c > src/map/cov/covMinSop.d -> ABC: `` Generating dependency: /src/map/cov/covMinUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/cov/covMinUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c > src/map/cov/covMinUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/scl.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/scl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclBuffer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclBuffer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c > src/map/scl/sclBuffer.d -> ABC: `` Generating dependency: /src/map/scl/sclBufSize.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclBufSize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclDnsize.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclDnsize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c > src/map/scl/sclDnsize.d -> ABC: `` Generating dependency: /src/map/scl/sclLiberty.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclLiberty.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c > src/map/scl/sclLiberty.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLibScl.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclLibScl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c > src/map/scl/sclLibScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclLibUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c > src/map/scl/sclLibUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLoad.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclLoad.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c > src/map/scl/sclLoad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclSize.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclSize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c > src/map/scl/sclSize.d -> ABC: `` Generating dependency: /src/map/scl/sclUpsize.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclUpsize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c > src/map/scl/sclUpsize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/scl/sclUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d -> ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c -> ABC: `` Generating dependency: /src/map/mpm/mpmCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c > src/map/mpm/mpmAbc.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c > src/map/mpm/mpmCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmGates.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmGates.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d -> ABC: `` Generating dependency: /src/map/mpm/mpmLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c > src/map/mpm/mpmMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c > src/map/mpm/mpmMap.d -> ABC: `` Generating dependency: /src/map/mpm/mpmMig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmMig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d -> ABC: `` Generating dependency: /src/map/mpm/mpmPre.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmPre.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c > src/map/mpm/mpmPre.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/map/mpm/mpmUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilCube.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilFile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMacc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMaj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c > src/misc/extra/extraUtilMaj.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMemory.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c > src/misc/extra/extraUtilMemory.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMisc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilMult.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c > src/misc/extra/extraUtilMult.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilPath.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c > src/misc/extra/extraUtilPath.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilPerm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilProgress.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilReader.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c > src/misc/mvc/mvcApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcCompare.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCompare.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c > src/misc/mvc/mvcCompare.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c -> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcContain.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c > src/misc/mvc/mvcContain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCover.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcCube.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcDivide.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c > src/misc/mvc/mvcDivide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcDivisor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c > src/misc/mvc/mvcDivisor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcList.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcList.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcLits.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcOpBool.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mvc/mvcUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c > src/misc/mvc/mvcUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/st/st.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/st/st.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c > src/misc/st/st.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/st/stmm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/st/stmm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c > src/misc/st/stmm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilBridge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilBridge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilBSet.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilBSet.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBSet.c > src/misc/util/utilBSet.d -> ABC: `` Generating dependency: /src/misc/util/utilCex.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c > src/misc/util/utilCex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilColor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilColor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilFile.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilFile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilIsop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilIsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d -> ABC: `` Generating dependency: /src/misc/util/utilNam.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilNam.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilPth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilPth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilPth.c > src/misc/util/utilPth.d -> ABC: `` Generating dependency: /src/misc/util/utilSignal.c -> ABC: `` Generating dependency: /src/misc/util/utilSort.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilSignal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/util/utilSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d -> ABC: `` Generating dependency: /src/misc/nm/nmApi.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/nm/nmApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/nm/nmTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/nm/nmTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timBox.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timBox.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c > src/misc/tim/timBox.d -> ABC: `` Generating dependency: /src/misc/tim/timDump.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timDump.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d -> ABC: `` Generating dependency: /src/misc/tim/timMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timTime.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d -> ABC: `` Generating dependency: /src/misc/tim/timTrav.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/tim/timTrav.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mem/mem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/mem/mem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/bar/bar.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/bar/bar.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c > src/misc/bar/bar.d -> ABC: `` Generating dependency: /src/misc/bbl/bblif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/bbl/bblif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/parse/parseEqn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/parse/parseEqn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c > src/misc/parse/parseEqn.d -> ABC: `` Generating dependency: /src/misc/parse/parseStack.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/misc/parse/parseStack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c > src/misc/parse/parseStack.d -> ABC: `` Generating dependency: /src/opt/cut/cutApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c > src/opt/cut/cutApi.d -> ABC: `` Generating dependency: /src/opt/cut/cutCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c > src/opt/cut/cutCut.d -> ABC: `` Generating dependency: /src/opt/cut/cutMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c > src/opt/cut/cutMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMerge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c > src/opt/cut/cutMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutNode.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutNode.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c > src/opt/cut/cutNode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutOracle.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutOracle.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c > src/opt/cut/cutOracle.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutPre22.c -> ABC: `` Generating dependency: /src/opt/cut/cutSeq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutPre22.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c > src/opt/cut/cutPre22.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c > src/opt/cut/cutSeq.d -> ABC: `` Generating dependency: /src/opt/cut/cutTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cut/cutTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c > src/opt/cut/cutTruth.d -> ABC: `` Generating dependency: /src/opt/fxu/fxu.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxu.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c > src/opt/fxu/fxu.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c -> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuCreate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c > src/opt/fxu/fxuCreate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapD.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c > src/opt/fxu/fxuHeapD.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuHeapS.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuList.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuList.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuMatrix.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuPair.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c > src/opt/fxu/fxuPair.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c > src/opt/fxu/fxuPrint.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuReduce.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuReduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c > src/opt/fxu/fxuReduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSelect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuSingle.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxu/fxuUpdate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxch/Fxch.c -> ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxch/Fxch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c > src/opt/fxch/Fxch.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxch/FxchDiv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d -> ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxch/FxchMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c > src/opt/fxch/FxchMan.d -> ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fxch/FxchSCHashTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c > src/opt/fxch/FxchSCHashTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrEva.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c > src/opt/rwr/rwrEva.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrExp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c > src/opt/rwr/rwrPrint.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwr/rwrUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c > src/opt/mfs/mfsCore.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsDiv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsInter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsResub.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c > src/opt/mfs/mfsResub.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsStrash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/mfs/mfsWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c > src/opt/sim/simMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSeq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSupp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSupp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d -> ABC: `` Generating dependency: /src/opt/sim/simSwitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSwitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c > src/opt/sim/simSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSym.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSym.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c > src/opt/sim/simSym.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSymSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c > src/opt/sim/simSymSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSymSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d -> ABC: `` Generating dependency: /src/opt/sim/simSymStr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simSymStr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d -> ABC: `` Generating dependency: /src/opt/sim/simUtils.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sim/simUtils.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c > src/opt/sim/simUtils.d -> ABC: `` Generating dependency: /src/opt/ret/retArea.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retArea.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c > src/opt/ret/retArea.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d -> ABC: `` Generating dependency: /src/opt/ret/retDelay.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retDelay.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c > src/opt/ret/retDelay.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retFlow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retFlow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c > src/opt/ret/retFlow.d -> ABC: `` Generating dependency: /src/opt/ret/retIncrem.c -> ABC: `` Generating dependency: /src/opt/ret/retInit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retIncrem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retInit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c > src/opt/ret/retInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retLvalue.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/ret/retLvalue.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c > src/opt/ret/retLvalue.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretMain.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fret/fretMain.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d -> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fret/fretFlow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretInit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fret/fretInit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d -> ABC: `` Generating dependency: /src/opt/fret/fretTime.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/fret/fretTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resDivs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resDivs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d -> ABC: `` Generating dependency: /src/opt/res/resFilter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resFilter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d -> ABC: `` Generating dependency: /src/opt/res/resSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resStrash.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resStrash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resWin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/res/resWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkAbcUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c > src/opt/lpk/lpkAbcUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c > src/opt/lpk/lpkCut.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c > src/opt/lpk/lpkMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c > src/opt/lpk/lpkMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMulti.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c > src/opt/lpk/lpkMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkMux.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c > src/opt/lpk/lpkMux.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/lpk/lpkSets.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c > src/opt/lpk/lpkSets.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c > src/opt/nwk/nwkAig.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c > src/opt/nwk/nwkCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkBidec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c > src/opt/nwk/nwkBidec.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c > src/opt/nwk/nwkDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkFanio.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c > src/opt/nwk/nwkFanio.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkFlow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c > src/opt/nwk/nwkFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c > src/opt/nwk/nwkMan.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c > src/opt/nwk/nwkMerge.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c > src/opt/nwk/nwkSpeedup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkStrash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c > src/opt/nwk/nwkStrash.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkTiming.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c > src/opt/nwk/nwkTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/nwk/nwkUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwt/rwtDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwt/rwtMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d -> ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rwt/rwtUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c > src/opt/rwt/rwtUtil.d -> ABC: `` Generating dependency: /src/opt/rar/rewire_rng.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rng.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rng.c > src/opt/rar/rewire_rng.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rar/rewire_map.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rar/rewire_map.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_map.c > src/opt/rar/rewire_map.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rar/rewire_rar.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/rar/rewire_rar.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rar.c > src/opt/rar/rewire_rar.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c > src/opt/cgt/cgtCore.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtDecide.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c > src/opt/cgt/cgtMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/cgt/cgtSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c > src/opt/cgt/cgtSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/csw/cswCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/csw/cswCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c > src/opt/csw/cswCut.d -> ABC: `` Generating dependency: /src/opt/csw/cswMan.c -> ABC: `` Generating dependency: /src/opt/csw/cswTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/csw/cswMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c > src/opt/csw/cswMan.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/csw/cswTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c > src/opt/csw/cswTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darBalance.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darBalance.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c > src/opt/dar/darBalance.d -> ABC: `` Generating dependency: /src/opt/dar/darCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c > src/opt/dar/darCore.d -> ABC: `` Generating dependency: /src/opt/dar/darCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c > src/opt/dar/darCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darData.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darData.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c > src/opt/dar/darData.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c > src/opt/dar/darLib.d -> ABC: `` Generating dependency: /src/opt/dar/darMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c > src/opt/dar/darMan.d -> ABC: `` Generating dependency: /src/opt/dar/darPrec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darPrec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c > src/opt/dar/darPrec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darRefact.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darRefact.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c > src/opt/dar/darRefact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darScript.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dar/darScript.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c > src/opt/dar/darScript.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauCanon.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d -> ABC: `` Generating dependency: /src/opt/dau/dauCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c > src/opt/dau/dauCore.d -> ABC: `` Generating dependency: /src/opt/dau/dauCount.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauCount.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c > src/opt/dau/dauCount.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauDivs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauDivs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c > src/opt/dau/dauDivs.d -> ABC: `` Generating dependency: /src/opt/dau/dauDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c > src/opt/dau/dauDsd.d -> ABC: `` Generating dependency: /src/opt/dau/dauEnum.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauEnum.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c > src/opt/dau/dauEnum.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauGia.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauGia.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauMerge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauMerge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c > src/opt/dau/dauMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauNonDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauNpn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauNpn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c > src/opt/dau/dauNpn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauNpn2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c > src/opt/dau/dauNpn2.d -> ABC: `` Generating dependency: /src/opt/dau/dauTree.c -> ABC: `` Generating dependency: /src/opt/dsc/dsc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dau/dauTree.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/dsc/dsc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c > src/opt/dsc/dsc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmArea.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c > src/opt/sfm/sfmArea.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c > src/opt/sfm/sfmCnf.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c > src/opt/sfm/sfmCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c > src/opt/sfm/sfmDec.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmLib.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c > src/opt/sfm/sfmLib.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmNtk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c > src/opt/sfm/sfmNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c > src/opt/sfm/sfmSat.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmTim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c > src/opt/sfm/sfmTim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmMit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c > src/opt/sfm/sfmMit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sfm/sfmWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d -> ABC: `` Generating dependency: /src/opt/sbd/sbd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c > src/opt/sbd/sbd.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c > src/opt/sbd/sbdCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c > src/opt/sbd/sbdCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c > src/opt/sbd/sbdCut.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdCut2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c > src/opt/sbd/sbdLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c -> ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdPath.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c > src/opt/sbd/sbdPath.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/opt/sbd/sbdWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c > src/opt/sbd/sbdWin.d -> ABC: `` Generating dependency: /src/sat/bsat/satMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c > src/sat/bsat/satMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satInter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c > src/sat/bsat/satInter.d -> ABC: `` Generating dependency: /src/sat/bsat/satInterA.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satInterA.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c > src/sat/bsat/satInterA.d -> ABC: `` Generating dependency: /src/sat/bsat/satInterB.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satInterB.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c > src/sat/bsat/satInterB.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInterP.c -> ABC: `` Generating dependency: /src/sat/bsat/satProof.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satInterP.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c > src/sat/bsat/satInterP.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satProof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c > src/sat/bsat/satProof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c > src/sat/bsat/satSolver.d -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c > src/sat/bsat/satSolver2.d -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver2i.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c > src/sat/bsat/satSolver2i.d -> ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satSolver3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c > src/sat/bsat/satSolver3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satStore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satStore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c > src/sat/bsat/satStore.d -> ABC: `` Generating dependency: /src/sat/bsat/satTrace.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satTrace.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c > src/sat/bsat/satTrace.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c > src/sat/bsat/satTruth.d -> ABC: `` Generating dependency: /src/sat/bsat/satUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bsat/satUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/xsat/xsatSolver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c > src/sat/xsat/xsatSolver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/xsat/xsatSolverAPI.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c > src/sat/xsat/xsatSolverAPI.d -> ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d -> ABC: `` Generating dependency: /src/sat/satoko/solver.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/satoko/solver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c > src/sat/satoko/solver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/satoko/solver_api.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/satoko/solver_api.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d -> ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/satoko/cnf_reader.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c > src/sat/satoko/cnf_reader.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/csat/csat_apis.c -> ABC: `` Generating dependency: /src/sat/msat/msatActivity.c -> ABC: `` Generating dependency: /src/sat/msat/msatClause.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/csat/csat_apis.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatActivity.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c > src/sat/msat/msatActivity.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatClause.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c > src/sat/msat/msatClause.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatClauseVec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c > src/sat/msat/msatClauseVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c > src/sat/msat/msatMem.d -> ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatOrderH.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c > src/sat/msat/msatOrderH.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatQueue.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatQueue.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c > src/sat/msat/msatQueue.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatRead.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d -> ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c > src/sat/msat/msatSolverCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverIo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c > src/sat/msat/msatSolverIo.d -> ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSolverSearch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c > src/sat/msat/msatSolverSearch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSort.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatVec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/msat/msatVec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c > src/sat/msat/msatVec.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c > src/sat/cnf/cnfCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c > src/sat/cnf/cnfCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfData.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfData.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c > src/sat/cnf/cnfData.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfFast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c > src/sat/cnf/cnfMan.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfPost.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c > src/sat/cnf/cnfPost.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/cnf/cnfWrite.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c > src/sat/cnf/cnfWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c > src/sat/bmc/bmcBCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c > src/sat/bmc/bmcBmc.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c > src/sat/bmc/bmcBmc2.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmc3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c > src/sat/bmc/bmcBmc3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmci.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c > src/sat/bmc/bmcBmci.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcG.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcBmcS.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCare.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c > src/sat/bmc/bmcCexCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexMin2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c > src/sat/bmc/bmcCexMin2.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcCexTools.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c > src/sat/bmc/bmcCexTools.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcChain.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c > src/sat/bmc/bmcChain.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcClp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c > src/sat/bmc/bmcClp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcEco.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c > src/sat/bmc/bmcEco.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcExpand.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c > src/sat/bmc/bmcExpand.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFault.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c > src/sat/bmc/bmcFault.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcFx.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c > src/sat/bmc/bmcFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c > src/sat/bmc/bmcGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcICheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c > src/sat/bmc/bmcICheck.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcLoad.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcInse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c > src/sat/bmc/bmcInse.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c > src/sat/bmc/bmcMaj2.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaj3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c > src/sat/bmc/bmcMaj3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaxi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMaxi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c > src/sat/bmc/bmcMaxi.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMesh2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c > src/sat/bmc/bmcMesh2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcMulti.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c > src/sat/bmc/bmcMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/bmc/bmcUnroll.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c > src/sat/bmc/bmcUnroll.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kissatSolver.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kissatSolver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatSolver.c > src/sat/kissat/kissatSolver.d -> ABC: `` Generating dependency: /src/sat/kissat/kissatTest.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kissatTest.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatTest.c > src/sat/kissat/kissatTest.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/allocate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/allocate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/allocate.c > src/sat/kissat/allocate.d -> ABC: `` Generating dependency: /src/sat/kissat/analyze.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/analyze.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/analyze.c > src/sat/kissat/analyze.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/ands.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/ands.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c > src/sat/kissat/ands.d -> ABC: `` Generating dependency: /src/sat/kissat/arena.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/arena.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c > src/sat/kissat/arena.d -> ABC: `` Generating dependency: /src/sat/kissat/assign.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/assign.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c > src/sat/kissat/assign.d -> ABC: `` Generating dependency: /src/sat/kissat/averages.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/averages.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c > src/sat/kissat/averages.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/backbone.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/backbone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c > src/sat/kissat/backbone.d -> ABC: `` Generating dependency: /src/sat/kissat/backtrack.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/backtrack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c > src/sat/kissat/backtrack.d -> ABC: `` Generating dependency: /src/sat/kissat/build.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/build.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c > src/sat/kissat/build.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/bump.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/bump.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c > src/sat/kissat/bump.d -> ABC: `` Generating dependency: /src/sat/kissat/check.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/check.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c > src/sat/kissat/check.d -> ABC: `` Generating dependency: /src/sat/kissat/classify.c -> ABC: `` Generating dependency: /src/sat/kissat/clause.c -> ABC: `` Generating dependency: /src/sat/kissat/collect.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/classify.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c > src/sat/kissat/classify.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/clause.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c > src/sat/kissat/clause.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/collect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c > src/sat/kissat/collect.d -> ABC: `` Generating dependency: /src/sat/kissat/colors.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/colors.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c > src/sat/kissat/colors.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/compact.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/compact.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c > src/sat/kissat/compact.d -> ABC: `` Generating dependency: /src/sat/kissat/config.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/config.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c > src/sat/kissat/config.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/congruence.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/congruence.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c > src/sat/kissat/congruence.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/decide.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/decide.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c > src/sat/kissat/decide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/deduce.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/deduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c > src/sat/kissat/deduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/definition.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/definition.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c > src/sat/kissat/definition.d -> ABC: `` Generating dependency: /src/sat/kissat/dense.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/dense.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c > src/sat/kissat/dense.d -> ABC: `` Generating dependency: /src/sat/kissat/dump.c -> ABC: `` Generating dependency: /src/sat/kissat/eliminate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/dump.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c > src/sat/kissat/dump.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/eliminate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c > src/sat/kissat/eliminate.d -> ABC: `` Generating dependency: /src/sat/kissat/equivalences.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/equivalences.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c > src/sat/kissat/equivalences.d -> ABC: `` Generating dependency: /src/sat/kissat/error.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/error.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/error.c > src/sat/kissat/error.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/extend.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/extend.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/extend.c > src/sat/kissat/extend.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/factor.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/factor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c > src/sat/kissat/factor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/fastel.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/fastel.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c > src/sat/kissat/fastel.d -> ABC: `` Generating dependency: /src/sat/kissat/file.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/file.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c > src/sat/kissat/file.d -> ABC: `` Generating dependency: /src/sat/kissat/flags.c -> ABC: `` Generating dependency: /src/sat/kissat/format.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/flags.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c > src/sat/kissat/flags.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/format.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c > src/sat/kissat/format.d -> ABC: `` Generating dependency: /src/sat/kissat/forward.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/forward.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c > src/sat/kissat/forward.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/gates.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/gates.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c > src/sat/kissat/gates.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/heap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/heap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c > src/sat/kissat/heap.d -> ABC: `` Generating dependency: /src/sat/kissat/ifthenelse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/ifthenelse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c > src/sat/kissat/ifthenelse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/import.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/import.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c > src/sat/kissat/import.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/internal.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/internal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c > src/sat/kissat/internal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kimits.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kimits.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c > src/sat/kissat/kimits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kitten.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kitten.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c > src/sat/kissat/kitten.d -> ABC: `` Generating dependency: /src/sat/kissat/krite.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/krite.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c > src/sat/kissat/krite.d -> ABC: `` Generating dependency: /src/sat/kissat/learn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/learn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c > src/sat/kissat/learn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/logging.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/logging.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/logging.c > src/sat/kissat/logging.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kucky.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kucky.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c > src/sat/kissat/kucky.d -> ABC: `` Generating dependency: /src/sat/kissat/minimize.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/minimize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c > src/sat/kissat/minimize.d -> ABC: `` Generating dependency: /src/sat/kissat/mode.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/mode.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c > src/sat/kissat/mode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/kptions.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/kptions.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kptions.c > src/sat/kissat/kptions.d -> ABC: `` Generating dependency: /src/sat/kissat/phases.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/phases.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c > src/sat/kissat/phases.d -> ABC: `` Generating dependency: /src/sat/kissat/preprocess.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/preprocess.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c > src/sat/kissat/preprocess.d -> ABC: `` Generating dependency: /src/sat/kissat/print.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/print.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c > src/sat/kissat/print.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/probe.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/probe.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c > src/sat/kissat/probe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/profile.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/profile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c > src/sat/kissat/profile.d -> ABC: `` Generating dependency: /src/sat/kissat/promote.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/promote.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c > src/sat/kissat/promote.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/proof.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/proof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proof.c > src/sat/kissat/proof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/propbeyond.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/propbeyond.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c > src/sat/kissat/propbeyond.d -> ABC: `` Generating dependency: /src/sat/kissat/propdense.c -> ABC: `` Generating dependency: /src/sat/kissat/propinitially.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/propdense.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c > src/sat/kissat/propdense.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/propinitially.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c > src/sat/kissat/propinitially.d -> ABC: `` Generating dependency: /src/sat/kissat/proprobe.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/proprobe.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c > src/sat/kissat/proprobe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/propsearch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/propsearch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c > src/sat/kissat/propsearch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/queue.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/queue.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c > src/sat/kissat/queue.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reduce.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/reduce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c > src/sat/kissat/reduce.d -> ABC: `` Generating dependency: /src/sat/kissat/reluctant.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/reluctant.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c > src/sat/kissat/reluctant.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/reorder.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/reorder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c > src/sat/kissat/reorder.d -> ABC: `` Generating dependency: /src/sat/kissat/rephase.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/rephase.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c > src/sat/kissat/rephase.d -> ABC: `` Generating dependency: /src/sat/kissat/report.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/report.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c > src/sat/kissat/report.d -> ABC: `` Generating dependency: /src/sat/kissat/resize.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/resize.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c > src/sat/kissat/resize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/resolve.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/resolve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c > src/sat/kissat/resolve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/resources.c -> ABC: `` Generating dependency: /src/sat/kissat/restart.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/resources.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c > src/sat/kissat/resources.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/restart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c > src/sat/kissat/restart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/search.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/search.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c > src/sat/kissat/search.d -> ABC: `` Generating dependency: /src/sat/kissat/shrink.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/shrink.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c > src/sat/kissat/shrink.d -> ABC: `` Generating dependency: /src/sat/kissat/smooth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/smooth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c > src/sat/kissat/smooth.d -> ABC: `` Generating dependency: /src/sat/kissat/sort.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/sort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c > src/sat/kissat/sort.d -> ABC: `` Generating dependency: /src/sat/kissat/stack.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/stack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c > src/sat/kissat/stack.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/statistics.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/statistics.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/statistics.c > src/sat/kissat/statistics.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/strengthen.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/strengthen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c > src/sat/kissat/strengthen.d -> ABC: `` Generating dependency: /src/sat/kissat/substitute.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/substitute.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c > src/sat/kissat/substitute.d -> ABC: `` Generating dependency: /src/sat/kissat/sweep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/sweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c > src/sat/kissat/sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/terminate.c -> ABC: `` Generating dependency: /src/sat/kissat/tiers.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/terminate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c > src/sat/kissat/terminate.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/tiers.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c > src/sat/kissat/tiers.d -> ABC: `` Generating dependency: /src/sat/kissat/trail.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/trail.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c > src/sat/kissat/trail.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/transitive.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/transitive.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c > src/sat/kissat/transitive.d -> ABC: `` Generating dependency: /src/sat/kissat/utilities.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/utilities.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c > src/sat/kissat/utilities.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/vector.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/vector.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c > src/sat/kissat/vector.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/kissat/vivify.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/vivify.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c > src/sat/kissat/vivify.d -> ABC: `` Generating dependency: /src/sat/kissat/walk.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/walk.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c > src/sat/kissat/walk.d -> ABC: `` Generating dependency: /src/sat/kissat/warmup.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/warmup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c > src/sat/kissat/warmup.d -> ABC: `` Generating dependency: /src/sat/kissat/watch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/watch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c > src/sat/kissat/watch.d -> ABC: `` Generating dependency: /src/sat/kissat/weaken.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/sat/kissat/weaken.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c > src/sat/kissat/weaken.d -> ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/bdc/bdcCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c > src/bool/bdc/bdcCore.d -> ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c -> ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/bdc/bdcDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c > src/bool/bdc/bdcDec.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/bdc/bdcSpfd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c > src/bool/bdc/bdcSpfd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/bdc/bdcTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d -> ABC: `` Generating dependency: /src/bool/dec/decAbc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decAbc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c > src/bool/dec/decAbc.d -> ABC: `` Generating dependency: /src/bool/dec/decFactor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decFactor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c > src/bool/dec/decFactor.d -> ABC: `` Generating dependency: /src/bool/dec/decMan.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c > src/bool/dec/decMan.d -> ABC: `` Generating dependency: /src/bool/dec/decPrint.c -> ABC: `` Generating dependency: /src/bool/dec/decUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decPrint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c > src/bool/dec/decPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/dec/decUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c > src/bool/dec/decUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c > src/bool/kit/kitAig.d -> ABC: `` Generating dependency: /src/bool/kit/kitBdd.c -> ABC: `` Generating dependency: /src/bool/kit/kitCloud.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitBdd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitCloud.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d -> ABC: `` Generating dependency: /src/bool/kit/cloud.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/cloud.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c > src/bool/kit/cloud.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d -> ABC: `` Generating dependency: /src/bool/kit/kitFactor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitFactor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c > src/bool/kit/kitFactor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitGraph.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitGraph.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c > src/bool/kit/kitGraph.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitHop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitHop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c > src/bool/kit/kitHop.d -> ABC: `` Generating dependency: /src/bool/kit/kitIsop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitIsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c > src/bool/kit/kitIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitPla.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitPla.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c > src/bool/kit/kitPla.d -> ABC: `` Generating dependency: /src/bool/kit/kitSop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitSop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c > src/bool/kit/kitSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/kit/kitTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/lucky.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/lucky.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c > src/bool/lucky/lucky.d -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast16.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c > src/bool/lucky/luckyFast16.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckyFast6.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c > src/bool/lucky/luckyFast6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckyRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c > src/bool/lucky/luckyRead.d -> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckySimple.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckySwapIJ.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c > src/bool/lucky/luckySwapIJ.d -> ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/lucky/luckySwap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c > src/bool/lucky/luckySwap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/rsb/rsbDec6.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c > src/bool/rsb/rsbDec6.d -> ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/rsb/rsbMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c > src/bool/rsb/rsbMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/rpo/rpo.c -> ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bool/rpo/rpo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c > src/bool/rpo/rpo.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c > src/proof/pdr/pdrCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrIncr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrInv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c > src/proof/pdr/pdrInv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c > src/proof/pdr/pdrSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c > src/proof/pdr/pdrTsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c > src/proof/pdr/pdrTsim2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrTsim3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c > src/proof/pdr/pdrTsim3.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/pdr/pdrUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c > src/proof/pdr/pdrUtil.d -> ABC: `` Generating dependency: /src/proof/abs/absDup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absGla.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absGla.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c > src/proof/abs/absGla.d -> ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absGlaOld.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c > src/proof/abs/absGlaOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absIter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absIter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c > src/proof/abs/absIter.d -> ABC: `` Generating dependency: /src/proof/abs/absOldCex.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOldCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d -> ABC: `` Generating dependency: /src/proof/abs/absOldRef.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOldRef.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d -> ABC: `` Generating dependency: /src/proof/abs/absOldSat.c -> ABC: `` Generating dependency: /src/proof/abs/absOldSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOldSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c > src/proof/abs/absOldSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOldSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c > src/proof/abs/absOldSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absOut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c > src/proof/abs/absOut.d -> ABC: `` Generating dependency: /src/proof/abs/absPth.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absPth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d -> ABC: `` Generating dependency: /src/proof/abs/absRef.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absRef.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c > src/proof/abs/absRef.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absRefSelect.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c > src/proof/abs/absRefSelect.d -> ABC: `` Generating dependency: /src/proof/abs/absRpm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absRpm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c > src/proof/abs/absRpm.d -> ABC: `` Generating dependency: /src/proof/abs/absRpmOld.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absRpmOld.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c > src/proof/abs/absRpmOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absVta.c -> ABC: `` Generating dependency: /src/proof/abs/absUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absVta.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c > src/proof/abs/absVta.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/abs/absUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c > src/proof/abs/absUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/liveness.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/liveness.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c > src/proof/live/liveness.d -> ABC: `` Generating dependency: /src/proof/live/liveness_sim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/liveness_sim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c > src/proof/live/liveness_sim.d -> ABC: `` Generating dependency: /src/proof/live/ltl_parser.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/ltl_parser.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c > src/proof/live/ltl_parser.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/kliveness.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/kliveness.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c > src/proof/live/kliveness.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/monotone.c -> ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/monotone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c > src/proof/live/monotone.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/disjunctiveMonotone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c > src/proof/live/disjunctiveMonotone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/arenaViolation.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/arenaViolation.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c > src/proof/live/arenaViolation.d -> ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/kLiveConstraints.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/combination.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/live/combination.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d -> ABC: `` Generating dependency: /src/proof/ssc/sscClass.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c > src/proof/ssc/sscClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d -> ABC: `` Generating dependency: /src/proof/ssc/sscSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c > src/proof/ssc/sscSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssc/sscUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c > src/proof/ssc/sscUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c > src/proof/int/intCheck.d -> ABC: `` Generating dependency: /src/proof/int/intContain.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intContain.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c > src/proof/int/intContain.d -> ABC: `` Generating dependency: /src/proof/int/intCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c > src/proof/int/intCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intCtrex.c -> ABC: `` Generating dependency: /src/proof/int/intDup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intCtrex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c > src/proof/int/intCtrex.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c > src/proof/int/intDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intFrames.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intFrames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c > src/proof/int/intFrames.d -> ABC: `` Generating dependency: /src/proof/int/intInter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intInter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c > src/proof/int/intInter.d -> ABC: `` Generating dependency: /src/proof/int/intM114.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intM114.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c > src/proof/int/intM114.d -> ABC: `` Generating dependency: /src/proof/int/intMan.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c > src/proof/int/intMan.d -> ABC: `` Generating dependency: /src/proof/int/intUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/int/intUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c > src/proof/int/intUtil.d -> ABC: `` Generating dependency: /src/proof/cec/cecCec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecCec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecChoice.c -> ABC: `` Generating dependency: /src/proof/cec/cecClass.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecChoice.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c > src/proof/cec/cecCore.d -> ABC: `` Generating dependency: /src/proof/cec/cecCorr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecCorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c > src/proof/cec/cecCorr.d -> ABC: `` Generating dependency: /src/proof/cec/cecIso.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecIso.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c > src/proof/cec/cecIso.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c > src/proof/cec/cecMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecPat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecPat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d -> ABC: `` Generating dependency: /src/proof/cec/cecProve.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecProve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecProve.c > src/proof/cec/cecProve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c > src/proof/cec/cecSatG2.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSatG3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c > src/proof/cec/cecSatG3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSeq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c > src/proof/cec/cecSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSolve.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSolve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c > src/proof/cec/cecSolve.d -> ABC: `` Generating dependency: /src/proof/cec/cecSolveG.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSolveG.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c > src/proof/cec/cecSolveG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSplit.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c > src/proof/cec/cecSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSynth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSynth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c > src/proof/cec/cecSynth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSweep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/cec/cecSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d -> ABC: `` Generating dependency: /src/proof/acec/acecCl.c -> ABC: `` Generating dependency: /src/proof/acec/acecCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecCl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c > src/proof/acec/acecCl.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c > src/proof/acec/acecCore.d -> ABC: `` Generating dependency: /src/proof/acec/acecCo.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecCo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c > src/proof/acec/acecCo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecBo.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecBo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c > src/proof/acec/acecBo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecRe.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecRe.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPa.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPa.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c > src/proof/acec/acecPa.d -> ABC: `` Generating dependency: /src/proof/acec/acecPo.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPo.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c > src/proof/acec/acecPo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPool.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPool.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCover.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecCover.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c > src/proof/acec/acecCover.d -> ABC: `` Generating dependency: /src/proof/acec/acecFadds.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecFadds.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c > src/proof/acec/acecFadds.d -> ABC: `` Generating dependency: /src/proof/acec/acecMult.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecMult.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d -> ABC: `` Generating dependency: /src/proof/acec/acecNorm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecNorm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c > src/proof/acec/acecNorm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecOrder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecOrder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c > src/proof/acec/acecOrder.d -> ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c -> ABC: `` Generating dependency: /src/proof/acec/acecSt.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecSt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c > src/proof/acec/acecSt.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecPolyn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c > src/proof/acec/acecPolyn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecTree.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecTree.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c > src/proof/acec/acecUtil.d -> ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acec2Mult.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c > src/proof/acec/acec2Mult.d -> ABC: `` Generating dependency: /src/proof/acec/acecXor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/acec/acecXor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c > src/proof/acec/acecXor.d -> ABC: `` Generating dependency: /src/proof/dch/dchAig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c > src/proof/dch/dchAig.d -> ABC: `` Generating dependency: /src/proof/dch/dchChoice.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchChoice.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c > src/proof/dch/dchChoice.d -> ABC: `` Generating dependency: /src/proof/dch/dchClass.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchCnf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c > src/proof/dch/dchCnf.d -> ABC: `` Generating dependency: /src/proof/dch/dchCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d -> ABC: `` Generating dependency: /src/proof/dch/dchMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c > src/proof/dch/dchMan.d -> ABC: `` Generating dependency: /src/proof/dch/dchSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c > src/proof/dch/dchSat.d -> ABC: `` Generating dependency: /src/proof/dch/dchSim.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c > src/proof/dch/dchSim.d -> ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchSimSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c > src/proof/dch/dchSimSat.d -> ABC: `` Generating dependency: /src/proof/dch/dchSweep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/dch/dchSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c > src/proof/dch/dchSweep.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c > src/proof/fraig/fraigApi.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c > src/proof/fraig/fraigCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFanout.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c -> ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigFeed.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c > src/proof/fraig/fraigMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c > src/proof/fraig/fraigMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigNode.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c > src/proof/fraig/fraigNode.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c -> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigPrime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c > src/proof/fraig/fraigPrime.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fraig/fraigVec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c > src/proof/fraig/fraigVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraBmc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraBmc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c > src/proof/fra/fraBmc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraCec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraCec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraClass.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d -> ABC: `` Generating dependency: /src/proof/fra/fraClau.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraClau.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d -> ABC: `` Generating dependency: /src/proof/fra/fraClaus.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraClaus.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c > src/proof/fra/fraClaus.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraCnf.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c > src/proof/fra/fraCnf.d -> ABC: `` Generating dependency: /src/proof/fra/fraCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c > src/proof/fra/fraCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraHot.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraHot.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c > src/proof/fra/fraHot.d -> ABC: `` Generating dependency: /src/proof/fra/fraImp.c -> ABC: `` Generating dependency: /src/proof/fra/fraInd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraImp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c > src/proof/fra/fraImp.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraInd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d -> ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraIndVer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c > src/proof/fra/fraIndVer.d -> ABC: `` Generating dependency: /src/proof/fra/fraLcr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraLcr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c > src/proof/fra/fraLcr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c > src/proof/fra/fraMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraPart.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraPart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c > src/proof/fra/fraPart.d -> ABC: `` Generating dependency: /src/proof/fra/fraSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c > src/proof/fra/fraSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraSec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d -> ABC: `` Generating dependency: /src/proof/fra/fraSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/fra/fraSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c > src/proof/fra/fraSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswBmc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c > src/proof/ssw/sswBmc.d -> ABC: `` Generating dependency: /src/proof/ssw/sswClass.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswClass.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c > src/proof/ssw/sswClass.d -> ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswCnf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c > src/proof/ssw/sswCnf.d -> ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswConstr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswCore.c -> ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswDyn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c > src/proof/ssw/sswDyn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswFilter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswFilter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c > src/proof/ssw/sswFilter.d -> ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswIslands.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c > src/proof/ssw/sswIslands.d -> ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswLcorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c > src/proof/ssw/sswLcorr.d -> ABC: `` Generating dependency: /src/proof/ssw/sswMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c > src/proof/ssw/sswMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswPart.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswPart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c > src/proof/ssw/sswPart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswPairs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c > src/proof/ssw/sswPairs.d -> ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswRarity.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c > src/proof/ssw/sswRarity.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSat.c -> ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c > src/proof/ssw/sswSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSemi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c > src/proof/ssw/sswSemi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d -> ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSimSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c > src/proof/ssw/sswSimSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d -> ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/proof/ssw/sswUnique.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c > src/proof/ssw/sswUnique.d -> ABC: `` Generating dependency: /src/aig/aig/aigCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c > src/aig/aig/aigCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigCanon.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c > src/aig/aig/aigCanon.d -> ABC: `` Generating dependency: /src/aig/aig/aigCuts.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigCuts.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c > src/aig/aig/aigCuts.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigDfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c > src/aig/aig/aigDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigDup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c > src/aig/aig/aigDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigFanout.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigFanout.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigFrames.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigFrames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c > src/aig/aig/aigFrames.d -> ABC: `` Generating dependency: /src/aig/aig/aigInter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigInter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigJust.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigJust.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c > src/aig/aig/aigJust.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c > src/aig/aig/aigMan.d -> ABC: `` Generating dependency: /src/aig/aig/aigMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c > src/aig/aig/aigMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMffc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigMffc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d -> ABC: `` Generating dependency: /src/aig/aig/aigObj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c > src/aig/aig/aigObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigOper.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigOper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c > src/aig/aig/aigOper.d -> ABC: `` Generating dependency: /src/aig/aig/aigOrder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigOrder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c > src/aig/aig/aigOrder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPack.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigPack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c > src/aig/aig/aigPack.d -> ABC: `` Generating dependency: /src/aig/aig/aigPart.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigPart.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c > src/aig/aig/aigPart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigPartReg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c > src/aig/aig/aigPartReg.d -> ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigPartSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c > src/aig/aig/aigPartSat.d -> ABC: `` Generating dependency: /src/aig/aig/aigRepr.c -> ABC: `` Generating dependency: /src/aig/aig/aigRet.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigRepr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c > src/aig/aig/aigRepr.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigRet.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c > src/aig/aig/aigRet.d -> ABC: `` Generating dependency: /src/aig/aig/aigRetF.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigRetF.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c > src/aig/aig/aigRetF.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigScl.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigScl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigShow.c -> ABC: `` Generating dependency: /src/aig/aig/aigSplit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c > src/aig/aig/aigShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c > src/aig/aig/aigSplit.d -> ABC: `` Generating dependency: /src/aig/aig/aigTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c > src/aig/aig/aigTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTiming.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTiming.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c > src/aig/aig/aigTiming.d -> ABC: `` Generating dependency: /src/aig/aig/aigTruth.c -> ABC: `` Generating dependency: /src/aig/aig/aigTsim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c > src/aig/aig/aigTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigTsim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c > src/aig/aig/aigUtil.d -> ABC: `` Generating dependency: /src/aig/aig/aigWin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/aig/aigWin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c > src/aig/aig/aigWin.d -> ABC: `` Generating dependency: /src/aig/saig/saigCone.c -> ABC: `` Generating dependency: /src/aig/saig/saigConstr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigCone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c > src/aig/saig/saigCone.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigConstr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c > src/aig/saig/saigConstr.d -> ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigConstr2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d -> ABC: `` Generating dependency: /src/aig/saig/saigDual.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigDual.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c > src/aig/saig/saigDual.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigDup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIoa.c -> ABC: `` Generating dependency: /src/aig/saig/saigInd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigInd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c > src/aig/saig/saigInd.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIoa.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c > src/aig/saig/saigIoa.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIso.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIso.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoFast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c > src/aig/saig/saigIsoFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigIsoSlow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c > src/aig/saig/saigIsoSlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigMiter.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigMiter.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c > src/aig/saig/saigMiter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigOutDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c > src/aig/saig/saigOutDec.d -> ABC: `` Generating dependency: /src/aig/saig/saigPhase.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigPhase.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c > src/aig/saig/saigPhase.d -> ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigRetFwd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c > src/aig/saig/saigRetFwd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigRetMin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c > src/aig/saig/saigRetMin.d -> ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigRetStep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigScl.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigScl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c > src/aig/saig/saigScl.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSimFast.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c > src/aig/saig/saigSimFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSimMv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c > src/aig/saig/saigSimMv.d -> ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c -> ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSimSeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c > src/aig/saig/saigSimSeq.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigStrSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c > src/aig/saig/saigStrSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSwitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c > src/aig/saig/saigSwitch.d -> ABC: `` Generating dependency: /src/aig/saig/saigSynch.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigSynch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c > src/aig/saig/saigSynch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigTempor.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigTempor.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c > src/aig/saig/saigTempor.d -> ABC: `` Generating dependency: /src/aig/saig/saigTrans.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigTrans.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c > src/aig/saig/saigTrans.d -> ABC: `` Generating dependency: /src/aig/saig/saigWnd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/saig/saigWnd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c > src/aig/saig/saigWnd.d -> ABC: `` Generating dependency: /src/aig/gia/giaAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c > src/aig/gia/giaAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAgi.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAgi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c > src/aig/gia/giaAgi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAiger.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAiger.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c > src/aig/gia/giaAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaAigerExt.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBalAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c > src/aig/gia/giaBalAig.d -> ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBalLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c > src/aig/gia/giaBalLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c -> ABC: `` Generating dependency: /src/aig/gia/giaBidec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBalMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBidec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c > src/aig/gia/giaBidec.d -> ABC: `` Generating dependency: /src/aig/gia/giaCCof.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCCof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c > src/aig/gia/giaCCof.d -> ABC: `` Generating dependency: /src/aig/gia/giaCex.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c > src/aig/gia/giaCex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaClp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaClp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c > src/aig/gia/giaClp.d -> ABC: `` Generating dependency: /src/aig/gia/giaCof.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c > src/aig/gia/giaCof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCone.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCone.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c > src/aig/gia/giaCone.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSatOld.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c > src/aig/gia/giaCSatOld.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c > src/aig/gia/giaCSat.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c > src/aig/gia/giaCSat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSat3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c > src/aig/gia/giaCSat3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSatP.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCSatP.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c > src/aig/gia/giaCSatP.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCTas.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCTas.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d -> ABC: `` Generating dependency: /src/aig/gia/giaCut.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDecs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaDecs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c > src/aig/gia/giaDecs.d -> ABC: `` Generating dependency: /src/aig/gia/giaDeep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaDeep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c > src/aig/gia/giaDeep.d -> ABC: `` Generating dependency: /src/aig/gia/giaDfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c > src/aig/gia/giaDfs.d -> ABC: `` Generating dependency: /src/aig/gia/giaDup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaDup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEdge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEdge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d -> ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEmbed.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c > src/aig/gia/giaEmbed.d -> ABC: `` Generating dependency: /src/aig/gia/giaEnable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEnable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c > src/aig/gia/giaEnable.d -> ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEquiv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c > src/aig/gia/giaEquiv.d -> ABC: `` Generating dependency: /src/aig/gia/giaEra.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEra.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c > src/aig/gia/giaEra.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEra2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEra2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c > src/aig/gia/giaEra2.d -> ABC: `` Generating dependency: /src/aig/gia/giaEsop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaEsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c > src/aig/gia/giaEsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaExist.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaExist.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c > src/aig/gia/giaExist.d -> ABC: `` Generating dependency: /src/aig/gia/giaFalse.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFalse.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c > src/aig/gia/giaFalse.d -> ABC: `` Generating dependency: /src/aig/gia/giaFanout.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFanout.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c > src/aig/gia/giaFanout.d -> ABC: `` Generating dependency: /src/aig/gia/giaForce.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFrames.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaForce.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c > src/aig/gia/giaForce.d -> ABC: `` Generating dependency: /src/aig/gia/giaFront.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFrames.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c > src/aig/gia/giaFrames.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFront.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c > src/aig/gia/giaFront.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFx.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaFx.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c > src/aig/gia/giaFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGen.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaGen.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c > src/aig/gia/giaGen.d -> ABC: `` Generating dependency: /src/aig/gia/giaGig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaGig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaGlitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c > src/aig/gia/giaGlitch.d -> ABC: `` Generating dependency: /src/aig/gia/giaHash.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaHash.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c > src/aig/gia/giaHash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIf.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c > src/aig/gia/giaIf.d -> ABC: `` Generating dependency: /src/aig/gia/giaIff.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIff.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c > src/aig/gia/giaIff.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIiff.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIiff.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d -> ABC: `` Generating dependency: /src/aig/gia/giaIso.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIso.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIso2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c > src/aig/gia/giaIso2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaIso3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c > src/aig/gia/giaIso3.d -> ABC: `` Generating dependency: /src/aig/gia/giaJf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaJf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c > src/aig/gia/giaJf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaKf.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaKf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c > src/aig/gia/giaKf.d -> ABC: `` Generating dependency: /src/aig/gia/giaLf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaLf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c > src/aig/gia/giaLf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c > src/aig/gia/giaMf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d -> ABC: `` Generating dependency: /src/aig/gia/giaMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d -> ABC: `` Generating dependency: /src/aig/gia/giaMfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMini.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMini.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMinLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c > src/aig/gia/giaMinLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMinLut2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c > src/aig/gia/giaMinLut2.d -> ABC: `` Generating dependency: /src/aig/gia/giaMulFind.c -> ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMulFind.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMulFind.c > src/aig/gia/giaMulFind.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaMuxes.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d -> ABC: `` Generating dependency: /src/aig/gia/giaNf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaNf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c > src/aig/gia/giaNf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaOf.c -> ABC: `` Generating dependency: /src/aig/gia/giaPack.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaOf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c > src/aig/gia/giaOf.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaPack.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c > src/aig/gia/giaPack.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaPat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c > src/aig/gia/giaPat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaPat2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c > src/aig/gia/giaPat2.d -> ABC: `` Generating dependency: /src/aig/gia/giaPf.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaPf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c > src/aig/gia/giaPf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaQbf.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaQbf.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d -> ABC: `` Generating dependency: /src/aig/gia/giaReshape1.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaReshape1.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c > src/aig/gia/giaReshape1.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaReshape2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c > src/aig/gia/giaReshape2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub.c -> ABC: `` Generating dependency: /src/aig/gia/giaResub2.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c > src/aig/gia/giaResub3.d -> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaResub6.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d -> ABC: `` Generating dependency: /src/aig/gia/giaRetime.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaRetime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaRex.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaRex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c > src/aig/gia/giaRex.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatEdge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c > src/aig/gia/giaSatEdge.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatLE.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c > src/aig/gia/giaSatLE.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatLut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c > src/aig/gia/giaSatLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatoko.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSatSyn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c > src/aig/gia/giaSatSyn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSat3.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSat3.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaScl.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaScl.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaScript.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaScript.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d -> ABC: `` Generating dependency: /src/aig/gia/giaShrink.c -> ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c > src/aig/gia/giaShrink.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink6.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c > src/aig/gia/giaShrink6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaShrink7.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSif.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSif.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c > src/aig/gia/giaSif.d -> ABC: `` Generating dependency: /src/aig/gia/giaSim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d -> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSim2.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d -> ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSimBase.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c > src/aig/gia/giaSimBase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSort.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d -> ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSpeedup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSplit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d -> ABC: `` Generating dependency: /src/aig/gia/giaStg.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaStg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d -> ABC: `` Generating dependency: /src/aig/gia/giaStoch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaStoch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaStr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSupMin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c > src/aig/gia/giaSupMin.d -> ABC: `` Generating dependency: /src/aig/gia/giaSupp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSupp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupps.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSupps.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c > src/aig/gia/giaSupps.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSweep.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c > src/aig/gia/giaSweep.d -> ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSweeper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaSwitch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d -> ABC: `` Generating dependency: /src/aig/gia/giaTim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c > src/aig/gia/giaTim.d -> ABC: `` Generating dependency: /src/aig/gia/giaTis.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTis.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c > src/aig/gia/giaTis.d -> ABC: `` Generating dependency: /src/aig/gia/giaTranStoch.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTranStoch.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c > src/aig/gia/giaTranStoch.d -> ABC: `` Generating dependency: /src/aig/gia/giaTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTsim.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaTsim.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c > src/aig/gia/giaTsim.d -> ABC: `` Generating dependency: /src/aig/gia/giaUnate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaUnate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c > src/aig/gia/giaUnate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d -> ABC: `` Generating dependency: /src/aig/gia/giaBound.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/gia/giaBound.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBound.c > src/aig/gia/giaBound.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ioa/ioaReadAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c > src/aig/ioa/ioaReadAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d -> ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ioa/ioaUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c > src/aig/ioa/ioaUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyBalance.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c > src/aig/ivy/ivyBalance.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCanon.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c > src/aig/ivy/ivyCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c > src/aig/ivy/ivyCheck.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCut.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyDsd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFanout.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFastMap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c > src/aig/ivy/ivyFastMap.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c -> ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyFraig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c > src/aig/ivy/ivyFraig.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyHaig.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c > src/aig/ivy/ivyMan.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c > src/aig/ivy/ivyMem.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyMulti.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c > src/aig/ivy/ivyObj.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyOper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyResyn.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyRwr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivySeq.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyShow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c > src/aig/ivy/ivyTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/ivy/ivyUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d -> ABC: `` Generating dependency: /src/aig/hop/hopBalance.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopBalance.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c > src/aig/hop/hopBalance.d -> ABC: `` Generating dependency: /src/aig/hop/hopCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c > src/aig/hop/hopCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopDfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopDfs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c > src/aig/hop/hopDfs.d -> ABC: `` Generating dependency: /src/aig/hop/hopMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d -> ABC: `` Generating dependency: /src/aig/hop/hopMem.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopMem.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c > src/aig/hop/hopMem.d -> ABC: `` Generating dependency: /src/aig/hop/hopObj.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopObj.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c > src/aig/hop/hopObj.d -> ABC: `` Generating dependency: /src/aig/hop/hopOper.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopOper.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d -> ABC: `` Generating dependency: /src/aig/hop/hopTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopTruth.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopTruth.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c > src/aig/hop/hopTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/aig/hop/hopUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c > src/aig/hop/hopUtil.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAPI.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddAnneal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c > src/bdd/cudd/cuddAnneal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddApa.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddApprox.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c > src/bdd/cudd/cuddApprox.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddAbs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c > src/bdd/cudd/cuddBddAbs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCache.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddClip.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c > src/bdd/cudd/cuddCof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddExact.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddExport.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddInit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLinear.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRead.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddRef.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSat.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSign.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddTable.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c -> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdMan.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdProc.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/dsd/dsdTree.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c > src/bdd/dsd/dsdTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/epd/epd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/epd/epd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/mtr/mtrBasic.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c > src/bdd/mtr/mtrBasic.d -> ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/mtr/mtrGroup.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c > src/bdd/mtr/mtrGroup.d -> ABC: `` Generating dependency: /src/bdd/reo/reoApi.c -> ABC: `` Generating dependency: /src/bdd/reo/reoCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoApi.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c > src/bdd/reo/reoApi.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoProfile.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c > src/bdd/reo/reoProfile.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoShuffle.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c > src/bdd/reo/reoShuffle.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoSift.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoSift.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c > src/bdd/reo/reoSift.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoSwap.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoTransfer.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d -> ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/reo/reoUnits.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c > src/bdd/reo/reoUnits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cas/casCore.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cas/casCore.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d -> ABC: `` Generating dependency: /src/bdd/cas/casDec.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/cas/casDec.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c > src/bdd/cas/casDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrCex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrImage.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c > src/bdd/bbr/bbrImage.d -> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/bbr/bbrReach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c > src/bdd/bbr/bbrReach.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Cluster.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c -> ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Constr.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Core.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Group.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Hint.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c > src/bdd/llb/llb1Hint.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Man.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c -> ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Pivot.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c > src/bdd/llb/llb1Pivot.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Reach.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb1Sched.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Bad.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c > src/bdd/llb/llb2Bad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Core.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c > src/bdd/llb/llb2Core.d -> ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Driver.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c > src/bdd/llb/llb2Driver.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Dump.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Flow.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb2Image.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c > src/bdd/llb/llb2Image.d -> ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb3Image.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d -> ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb3Nonlin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c > src/bdd/llb/llb3Nonlin.d -> ABC: `` Generating dependency: /src/bdd/llb/llb4Cex.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Cex.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c > src/bdd/llb/llb4Cex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Image.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Image.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c > src/bdd/llb/llb4Image.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Nonlin.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c > src/bdd/llb/llb4Nonlin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Sweep.c /build/reproducible-path/yosys-0.51/abc/depends.sh "g++" `dirname src/bdd/llb/llb4Sweep.c` -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c > src/bdd/llb/llb4Sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: Using CC=g++ -> ABC: Using CXX=g++ -> ABC: Using AR=ar -> ABC: Using LD=g++ -> ABC: Compiling in namespace -> ABC: Compiling with CUDD -> ABC: Using libreadline -> ABC: Using pthreads -> ABC: Found GCC_VERSION 14 -> ABC: Found GCC_MAJOR>=5 -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -> ABC: `` Compiling: /src/map/if/acd/ac_wrapper.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/map/if/acd/ac_wrapper.cpp -o src/map/if/acd/ac_wrapper.o -> ABC: `` Compiling: /src/opt/rar/rewire_miaig.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/opt/rar/rewire_miaig.cpp -o src/opt/rar/rewire_miaig.o -> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o -> ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/Options.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/System.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose/System.cpp -o src/sat/glucose/System.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucose2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucose2.cpp -o src/sat/glucose2/AbcGlucose2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucoseCmd2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/Options2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/Options2.cpp -o src/sat/glucose2/Options2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/glucose/Glucose.cpp: In member function 'void abc::Gluco::Solver::printIncrementalStats()': src/sat/glucose/Glucose.cpp:1189:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1189 | printf("c restarts : %ld\n", starts); | ~~^ ~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1190:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1190 | printf("c nb ReduceDB : %ld\n", nbReduceDB); | ~~^ ~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1191:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1191 | printf("c nb removed Clauses : %ld\n", nbRemovedClauses); | ~~^ ~~~~~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1192:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1192 | printf("c nb learnts DL2 : %ld\n", nbDL2); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1193:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1193 | printf("c nb learnts size 2 : %ld\n", nbBin); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1194:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1194 | printf("c nb learnts size 1 : %ld\n", nbUn); | ~~^ ~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1196:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1196 | printf("c conflicts : %ld\n", conflicts); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1197:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1197 | printf("c decisions : %ld\n", decisions); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1198:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1198 | printf("c propagations : %ld\n", propagations); | ~~^ ~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp: In member function 'void abc::Gluco2::Solver::printIncrementalStats()': src/sat/glucose2/Glucose2.cpp:1371:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1371 | printf("c restarts : %ld\n", starts); | ~~^ ~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1372:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1372 | printf("c nb ReduceDB : %ld\n", nbReduceDB); | ~~^ ~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1373:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1373 | printf("c nb removed Clauses : %ld\n", nbRemovedClauses); | ~~^ ~~~~~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1374:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1374 | printf("c nb learnts DL2 : %ld\n", nbDL2); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1375:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1375 | printf("c nb learnts size 2 : %ld\n", nbBin); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1376:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1376 | printf("c nb learnts size 1 : %ld\n", nbUn); | ~~^ ~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1378:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1378 | printf("c conflicts : %ld\n", conflicts); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1379:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1379 | printf("c decisions : %ld\n", decisions); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1380:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1380 | printf("c propagations : %ld\n", propagations); | ~~^ ~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -> ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/System2.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/sat/glucose2/System2.cpp -o src/sat/glucose2/System2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaRrr.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaRrr.cpp -o src/aig/gia/giaRrr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTransduction.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTransduction.cpp -o src/aig/gia/giaTransduction.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTtopt.cpp g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -std=c++17 -fno-exceptions src/aig/gia/giaTtopt.cpp -o src/aig/gia/giaTtopt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcBarBuf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcBlifMv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcFanio.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -o src/base/abc/abcFanio.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcFanOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c -o src/base/abc/abcFanOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /usr/include/c++/14/bits/hashtable_policy.h:34, from /usr/include/c++/14/bits/hashtable.h:35, from /usr/include/c++/14/bits/unordered_map.h:33, from /usr/include/c++/14/unordered_map:41, from src/map/if/acd/ac_decomposition.hpp:33, from src/map/if/acd/ac_wrapper.cpp:20: In member function 'std::_Head_base<1u, std::array, false>::_Head_base&>(std::array&)', inlined from 'std::_Tuple_impl<1u, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, inlined from 'std::_Tuple_impl<0u, abc::kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, inlined from 'std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:1491:54, inlined from 'std::make_tuple&, std::array&, unsigned int>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>' at /usr/include/c++/14/tuple:2644:62, inlined from 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations2(unsigned int, unsigned int)' at src/map/if/acd/ac_decomposition.hpp:564:65: /usr/include/c++/14/tuple:210:11: warning: 'res_perm' may be used uninitialized [-Wmaybe-uninitialized] 210 | : _M_head_impl(std::forward<_UHead>(__h)) { } | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/map/if/acd/ac_decomposition.hpp: In member function 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations2(unsigned int, unsigned int)': src/map/if/acd/ac_decomposition.hpp:547:40: note: 'res_perm' declared here 547 | std::array res_perm; | ^~~~~~~~ -> ABC: `` Compiling: /src/base/abc/abcFunc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c -o src/base/abc/abcFunc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHie.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c -o src/base/abc/abcHie.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieCec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c -o src/base/abc/abcHieCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieGia.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c -o src/base/abc/abcHieGia.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieNew.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c -o src/base/abc/abcHieNew.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcLatch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c -o src/base/abc/abcLatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c -o src/base/abc/abcLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcMinBase.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c -o src/base/abc/abcMinBase.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In member function 'std::_Head_base<1u, std::array, false>::_Head_base&>(std::array&)', inlined from 'std::_Tuple_impl<1u, std::array, unsigned int>::_Tuple_impl&, unsigned int, void>(std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, inlined from 'std::_Tuple_impl<0u, abc::kitty::static_truth_table<11u, false>, std::array, unsigned int>::_Tuple_impl&, std::array&, unsigned int, void>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:318:38, inlined from 'std::tuple, std::array, unsigned int>::tuple&, std::array&, unsigned int, true, true>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)' at /usr/include/c++/14/tuple:1491:54, inlined from 'std::make_tuple&, std::array&, unsigned int>(abc::kitty::static_truth_table<11u, false>&, std::array&, unsigned int&&)std::tuple&>::type>::__type, std::__strip_reference_wrapper&>::type>::__type, std::__strip_reference_wrapper::type>::__type>' at /usr/include/c++/14/tuple:2644:62, inlined from 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>' at src/map/if/acd/ac_decomposition.hpp:518:67: /usr/include/c++/14/tuple:210:11: warning: 'res_perm' may be used uninitialized [-Wmaybe-uninitialized] 210 | : _M_head_impl(std::forward<_UHead>(__h)) { } | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/map/if/acd/ac_decomposition.hpp: In member function 'abc::acd::ac_decomposition_impl::enumerate_iset_combinations const&)>&>(unsigned int, unsigned int, std::function const&)>&)std::tuple, std::array, unsigned int>': src/map/if/acd/ac_decomposition.hpp:514:40: note: 'res_perm' declared here 514 | std::array res_perm; | ^~~~~~~~ -> ABC: `` Compiling: /src/base/abc/abcNames.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c -o src/base/abc/abcNames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcNetlist.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcRefs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcSop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:495:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:970, from src/base/abc/abc.h:29, from src/base/abc/abcNames.c:21: In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:495:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:515:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:515:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1999 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:571:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:571:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 3 and 2147483649 bytes into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 2000 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:572:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:572:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output 2 or more bytes (assuming 2147483648) into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 2000 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:573:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:573:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output 2 or more bytes (assuming 2147483648) into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/abci/abcAttach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/extra/extra.h:44, from src/base/abc/abcHieNew.c:28: In function 'abc::Au_ObjFaninC(abc::Au_Obj_t_*, int)', inlined from 'abc::Au_ObjFaninC2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:176:95, inlined from 'abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1173:42: src/base/abc/abcHieNew.c:173:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 173 | static inline int Au_ObjFaninC( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_LitIsCompl(p->Fanins[i]); } | ~~~~~~~~~~~^ src/base/abc/abcHieNew.c: In function 'abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)': src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' 61 | int Fanins[2]; // fanin literals | ^~~~~~ -> ABC: `` Compiling: /src/base/abci/abcAuto.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBidec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, inlined from 'abc::Au_ObjFanin2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:172:94, inlined from 'abc::Au_ObjGetXsimFan2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:1310:30, inlined from 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1355:30: src/base/abc/abcHieNew.c:165:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 165 | static inline int Au_ObjFaninId( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_Lit2Var(p->Fanins[i]); } | ~~~~~~~~~~~^ src/base/abc/abcHieNew.c: In function 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)': src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' 61 | int Fanins[2]; // fanin literals | ^~~~~~ -> ABC: `` Compiling: /src/base/abci/abcCas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCascade.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c -o src/base/abci/abcCascade.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCollapse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -o src/base/abci/abcCollapse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c -o src/base/abci/abcCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDar.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c -o src/base/abci/abcDar.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDebug.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c -o src/base/abci/abcDebug.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c -o src/base/abci/abcDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDetect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c -o src/base/abci/abcDetect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDress.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c -o src/base/abci/abcDress.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDress2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c -o src/base/abci/abcDress2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDress3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c -o src/base/abci/abcDress3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c -o src/base/abci/abcDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcEco.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -o src/base/abci/abcEco.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcExact.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c -o src/base/abci/abcExact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcExtract.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c -o src/base/abci/abcExtract.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcFraig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c -o src/base/abci/abcFraig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcFx.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c -o src/base/abci/abcFx.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcFxu.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c -o src/base/abci/abcFxu.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcGen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c -o src/base/abci/abcGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcHaig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c -o src/base/abci/abcHaig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c -o src/base/abci/abcIf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIfif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c -o src/base/abci/abcIfif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIfMux.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c -o src/base/abci/abcIfMux.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIvy.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c -o src/base/abci/abcIvy.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcLog.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c -o src/base/abci/abcLog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c -o src/base/abci/abcLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcLutmin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c -o src/base/abci/abcLutmin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c -o src/base/abci/abcMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c -o src/base/abci/abcMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c -o src/base/abci/abcMfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMini.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c -o src/base/abci/abcMini.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMiter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c -o src/base/abci/abcMiter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c -o src/base/abci/abcMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcNtbdd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c -o src/base/abci/abcNtbdd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcNpn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c -o src/base/abci/abcNpn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcNpnSave.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c -o src/base/abci/abcNpnSave.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcOrchestration.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c -o src/base/abci/abcOrchestration.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcOdc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c -o src/base/abci/abcOdc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c -o src/base/abci/abcOrder.o -> ABC: `` Compiling: /src/base/abci/abcPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c -o src/base/abci/abcPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcPrint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c -o src/base/abci/abcPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcProve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c -o src/base/abci/abcProve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQbf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQuant.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRec3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReconv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c -o src/base/abci/abcReconv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c -o src/base/abci/abcReach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRefactor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c -o src/base/abci/abcRefactor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRenode.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c -o src/base/abci/abcRenode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReorder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c -o src/base/abci/abcReorder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRestruct.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c -o src/base/abci/abcRestruct.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcResub.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c -o src/base/abci/abcResub.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRewrite.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c -o src/base/abci/abcRewrite.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRpo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c -o src/base/abci/abcRpo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c -o src/base/abci/abcRr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRunGen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c -o src/base/abci/abcRunGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c -o src/base/abci/abcSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSaucy.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c -o src/base/abci/abcSaucy.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcScorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c -o src/base/abci/abcScorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSense.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c -o src/base/abci/abcSense.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSpeedup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -o src/base/abci/abcSpeedup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcStrash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -o src/base/abci/abcSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSymm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcTim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c -o src/base/abci/abcTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcTiming.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -o src/base/abci/abcTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcUnate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -o src/base/abci/abcUnate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcUnreach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -o src/base/abci/abcUnreach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcVerify.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c -o src/base/abci/abcVerify.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcXsim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c -o src/base/abci/abcXsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -o src/base/cmd/cmd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdAlias.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c -o src/base/cmd/cmdAlias.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c -o src/base/cmd/cmdApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdAuto.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c -o src/base/cmd/cmdAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ kernel/register.cc: In member function 'Yosys::CellHelpMessages::CellHelpMessages()': kernel/register.cc:782:9: note: variable tracking size limit exceeded with '-fvar-tracking-assignments', retrying without 782 | CellHelpMessages() { | ^~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/cmd/cmdFlag.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c -o src/base/cmd/cmdFlag.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdHist.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c -o src/base/cmd/cmdHist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdLoad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -o src/base/cmd/cmdLoad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdPlugin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c -o src/base/cmd/cmdPlugin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdStarter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c -o src/base/cmd/cmdStarter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c -o src/base/cmd/cmdUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abci/abcSaucy.c: In function 'abc::saucy_alloc(abc::Abc_Ntk_t_*)': src/base/abci/abcSaucy.c:2656:37: warning: '*_146' may be used uninitialized [-Wmaybe-uninitialized] 2656 | && s->clist && s->nextnon[-1] && s->prevnon | ~~~~~~~~~~~~~^ -> ABC: `` Compiling: /src/base/io/io.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c -o src/base/io/io.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioJson.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -o src/base/io/ioJson.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadAiger.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -o src/base/io/ioReadAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBaf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -o src/base/io/ioReadBaf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBblif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c -o src/base/io/ioReadBblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBench.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c -o src/base/io/ioReadBench.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c -o src/base/io/ioReadBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBlifAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c -o src/base/io/ioReadBlifAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBlifMv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c -o src/base/io/ioReadBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -o src/base/io/ioReadDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadEdif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c -o src/base/io/ioReadEdif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadEqn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadPla.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -o src/base/io/ioReadPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadPlaMo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -o src/base/io/ioReadPlaMo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadVerilog.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c -o src/base/io/ioReadVerilog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteAiger.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c -o src/base/io/ioWriteAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBaf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c -o src/base/io/ioWriteBaf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBblif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c -o src/base/io/ioWriteBblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBench.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c -o src/base/io/ioWriteBench.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c -o src/base/io/ioWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBlifMv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c -o src/base/io/ioWriteBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBook.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -o src/base/io/ioWriteBook.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -o src/base/io/ioWriteCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteDot.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -o src/base/io/ioWriteDot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteEqn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c -o src/base/io/ioWriteEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteEdgelist.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c -o src/base/io/ioWriteEdgelist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteGml.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -o src/base/io/ioWriteGml.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteHMetis.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteHMetis.c -o src/base/io/ioWriteHMetis.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteList.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWritePla.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteSmv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/main.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -o src/base/main/main.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/io/ioWriteEdgelist.c: In function 'int abc::Io_NtkEdgelistWriteNodeGate(FILE*, Abc_Obj_t*, int, int)': src/base/io/ioWriteEdgelist.c:678:29: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] 678 | fprintf( pFile, " %lu", Mio_GateReadTruth(pGate) ); | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~~ | | | | long unsigned int abc::word {aka long long unsigned int} | %llu src/base/io/ioWriteEdgelist.c:693:25: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] 693 | fprintf( pFile, " %lu", Mio_GateReadTruth(pGate) ); | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~~ | | | | long unsigned int abc::word {aka long long unsigned int} | %llu src/base/io/ioWriteHMetis.c: In function 'abc::Io_WriteHMetis(abc::Abc_Ntk_t_*, char*, int, int, int)': src/base/io/ioWriteHMetis.c:42:15: warning: argument 1 null where non-null expected [-Wnonnull] 42 | fclose( pFHMetis ); | ~~~~~~^~~~~~~~~~~~ In file included from /build/reproducible-path/yosys-0.51/abc/src/base/abc/abc.h:29, from src/base/io/ioAbc.h:29, from src/base/io/ioWriteHMetis.c:22: /usr/include/stdio.h:184:12: note: in a call to function 'fclose' declared 'nonnull' 184 | extern int fclose (FILE *__stream) __nonnull ((1)); | ^~~~~~ -> ABC: `` Compiling: /src/base/main/mainFrame.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c -o src/base/main/mainFrame.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c -o src/base/main/mainInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c -o src/base/main/mainLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainReal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c -o src/base/main/mainReal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/libSupport.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -o src/base/main/libSupport.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -o src/base/main/mainUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -o src/base/exor/exor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorBits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -o src/base/exor/exorBits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorCubes.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -o src/base/exor/exorCubes.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorLink.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c -o src/base/exor/exorLink.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorList.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -o src/base/exor/exorList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/main/mainReal.c: In function 'int abc::Abc_RealMain(int, char**)': src/base/main/mainReal.c:141:27: warning: narrowing conversion of '(((long long unsigned int)maxMb) * (1 << 20))' from 'long long unsigned int' to 'rlim_t' {aka 'long unsigned int'} [-Wnarrowing] 141 | maxMb * (1llu << 20), /* soft limit */ | ~~~~~~^~~~~~~~~~~~~~ src/base/main/mainReal.c:142:27: warning: narrowing conversion of '(((long long unsigned int)maxMb) * (1 << 20))' from 'long long unsigned int' to 'rlim_t' {aka 'long unsigned int'} [-Wnarrowing] 142 | maxMb * (1llu << 20) /* hard limit */ | ~~~~~~^~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/exor/exorUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verFormula.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verParse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -o src/base/ver/verParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verStream.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -o src/base/ver/verStream.o -> ABC: `` Compiling: /src/base/wlc/wlcAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -o src/base/wlc/wlcAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbs2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -o src/base/wlc/wlcAbs2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -o src/base/wlc/wlcAbc.o -> ABC: `` Compiling: /src/base/wlc/wlcPth.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcBlast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -o src/base/wlc/wlcCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcGraft.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c -o src/base/wlc/wlcGraft.o -> ABC: `` Compiling: /src/base/wlc/wlcJson.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c -o src/base/wlc/wlcJson.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c -o src/base/wlc/wlcMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcNdr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c -o src/base/wlc/wlcNdr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c -o src/base/wlc/wlcNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcReadSmt.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c -o src/base/wlc/wlcReadSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcReadVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -o src/base/wlc/wlcReadVer.o -> ABC: `` Compiling: /src/base/wlc/wlcSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcStdin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcUif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -o src/base/wlc/wlcWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c -o src/base/wlc/wlcWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wln.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c -o src/base/wln/wln.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnBlast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c -o src/base/wln/wlnBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c -o src/base/wln/wlnCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnGuide.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c -o src/base/wln/wlnGuide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c -o src/base/wln/wlnMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnNdr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c -o src/base/wln/wlnNdr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c -o src/base/wln/wlnNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c -o src/base/wln/wlnObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c -o src/base/wln/wlnRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRetime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c -o src/base/wln/wlnRetime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRtl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c -o src/base/wln/wlnRtl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnWlc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c -o src/base/wln/wlnWlc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wlc/wlc.h:34, from src/base/wlc/wlcReadVer.c:21: In function 'abc::Abc_TtSetHex(unsigned long long*, int, int)', inlined from 'abc::Abc_TtReadHexNumber(unsigned long long*, char*)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1576:21, inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:189:75: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } | ~~~~~~^ src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 985 | int v, b, Value, nBits, nInts; | ^~~~~ In function 'abc::Abc_TtSetHex(unsigned long long*, int, int)', inlined from 'abc::Abc_TtReadHexNumber(unsigned long long*, char*)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1576:21, inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)' at src/base/wlc/wlcReadVer.c:1001:40: /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:189:77: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] 189 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*, int)': src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 985 | int v, b, Value, nBits, nInts; | ^~~~~ -> ABC: `` Compiling: /src/base/acb/acbAbc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -o src/base/acb/acbAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c -o src/base/acb/acbCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbFunc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbMfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbPush.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wln/wlnWriteVer.c:21: In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)' at src/base/wln/wln.h:115:103, inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:355:24: src/base/wln/wln.h:111:169: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:306:20: src/base/wln/wln.h:111:169: warning: array subscript 3 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)' at src/base/wln/wln.h:115:103, inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:306:20: src/base/wln/wln.h:111:169: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:306:20: src/base/wln/wln.h:111:169: warning: array subscript 3 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)' at src/base/wln/wln.h:115:103, inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:306:20: src/base/wln/wln.h:111:169: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ -> ABC: `` Compiling: /src/base/acb/acbSets.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbTest.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -o src/base/acb/acbTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -o src/base/acb/acbUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacBlast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c -o src/base/bac/bacBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacBac.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c -o src/base/bac/bacBac.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c -o src/base/bac/bacCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -o src/base/bac/bacLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -o src/base/bac/bacNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacPrsBuild.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c -o src/base/bac/bacPrsBuild.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacPrsTrans.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c -o src/base/bac/bacPrsTrans.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacPtr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c -o src/base/bac/bacPtr.o -> ABC: `` Compiling: /src/base/bac/bacPtrAbc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c -o src/base/bac/bacPtrAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c -o src/base/bac/bacReadBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadSmt.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c -o src/base/bac/bacReadSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -o src/base/bac/bacReadVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -o src/base/bac/bacWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteSmt.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -o src/base/bac/bacWriteSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c -o src/base/bac/bacWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaBlast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c -o src/base/cba/cbaBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaCba.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c -o src/base/cba/cbaCba.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c -o src/base/cba/cbaCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c -o src/base/cba/cbaNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaReadBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c -o src/base/cba/cbaReadBlif.o -> ABC: `` Compiling: /src/base/cba/cbaReadVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -o src/base/cba/cbaReadVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaWriteBlif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c -o src/base/cba/cbaWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaWriteVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c -o src/base/cba/cbaWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c -o src/base/pla/plaCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaHash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c -o src/base/pla/plaHash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c -o src/base/pla/plaMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c -o src/base/pla/plaMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaSimple.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c -o src/base/pla/plaSimple.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c -o src/base/pla/plaRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaWrite.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c -o src/base/pla/plaWrite.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/test/test.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c -o src/base/test/test.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c -o src/map/mapper/mapper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -o src/map/mapper/mapperCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCreate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o -> ABC: `` Compiling: /src/map/mapper/mapperCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c -o src/map/mapper/mapperCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCutUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c -o src/map/mapper/mapperCutUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c -o src/map/mapper/mapperLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperMatch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c -o src/map/mapper/mapperMatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperRefs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c -o src/map/mapper/mapperRefs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperSuper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c -o src/map/mapper/mapperSuper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperSwitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c -o src/map/mapper/mapperSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c -o src/map/mapper/mapperTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -o src/map/mapper/mapperTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTree.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c -o src/map/mapper/mapperTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c -o src/map/mapper/mapperTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c -o src/map/mapper/mapperUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c -o src/map/mapper/mapperVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mio.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c -o src/map/mio/mio.o -> ABC: `` Compiling: /src/map/mio/mioApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c -o src/map/mio/mioApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioFunc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -o src/map/mio/mioFunc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioParse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c -o src/map/mio/mioParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -o src/map/mio/mioRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioSop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c -o src/map/mio/mioSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -o src/map/mio/mioUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/super.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c -o src/map/super/super.o -> ABC: `` Compiling: /src/map/super/superAnd.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -o src/map/super/superAnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/superGate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCom.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c -o src/map/if/ifCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCache.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c -o src/map/if/ifCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c -o src/map/if/ifCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c -o src/map/if/ifCut.o -> ABC: `` Compiling: /src/map/if/ifData2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c -o src/map/if/ifData2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec07.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c -o src/map/if/ifDec07.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec08.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c -o src/map/if/ifDec08.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec10.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c -o src/map/if/ifDec10.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec16.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c -o src/map/if/ifDec16.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec66.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec66.c -o src/map/if/ifDec66.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec75.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -o src/map/if/ifDec75.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDelay.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c -o src/map/if/ifDelay.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c -o src/map/if/ifDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifLibBox.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c -o src/map/if/ifLibBox.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifLibLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c -o src/map/if/ifLibLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c -o src/map/if/ifMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c -o src/map/if/ifMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMatch2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c -o src/map/if/ifMatch2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifReduce.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c -o src/map/if/ifReduce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c -o src/map/if/ifSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSelect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c -o src/map/if/ifSelect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -o src/map/if/ifSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTest.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c -o src/map/if/ifTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c -o src/map/if/ifTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c -o src/map/if/ifTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTune.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c -o src/map/if/ifTune.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c -o src/map/if/ifUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c -o src/map/amap/amapCore.o -> ABC: `` Compiling: /src/map/amap/amapGraph.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c -o src/map/amap/amapGraph.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c -o src/map/amap/amapLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapLiberty.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c -o src/map/amap/amapLiberty.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c -o src/map/amap/amapMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -o yosys -rdynamic kernel/version_c4b5190229616f7ebf8197f43990b4429de3e420.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/tclapi.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/cmds/wrapcell.o passes/cmds/setenv.o passes/cmds/abstract.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/opt/peepopt.o passes/pmgen/test_pmgen.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_dsp.o techlibs/ice40/ice40_wrapcarry.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/microchip/microchip_dsp.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_ioff.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o techlibs/xilinx/xilinx_dsp.o techlibs/xilinx/xilinx_srl.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Compiling: /src/map/amap/amapMatch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -o src/map/amap/amapMatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapOutput.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapParse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -o src/map/amap/amapParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapPerm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c -o src/map/amap/amapPerm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c -o src/map/amap/amapRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapRule.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c -o src/map/amap/amapRule.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapUniq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c -o src/map/amap/amapUniq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covBuild.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c -o src/map/cov/covBuild.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c -o src/map/cov/covCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c -o src/map/cov/covMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinEsop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c -o src/map/cov/covMinEsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c -o src/map/cov/covMinMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinSop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c -o src/map/cov/covMinSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c -o src/map/cov/covMinUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/scl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c -o src/map/scl/scl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclBuffer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c -o src/map/scl/sclBuffer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclBufSize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c -o src/map/scl/sclBufSize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclDnsize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -o src/map/scl/sclDnsize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLiberty.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c -o src/map/scl/sclLiberty.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLibScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c -o src/map/scl/sclLibScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLibUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c -o src/map/scl/sclLibUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLoad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c -o src/map/scl/sclLoad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclSize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c -o src/map/scl/sclSize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclUpsize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -o src/map/scl/sclUpsize.o -> ABC: `` Compiling: /src/map/scl/sclUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c -o src/map/scl/sclUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmAbc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c -o src/map/mpm/mpmAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -o src/map/mpm/mpmCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -o src/map/mpm/mpmDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmGates.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c -o src/map/mpm/mpmGates.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c -o src/map/mpm/mpmLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c -o src/map/mpm/mpmMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c -o src/map/mpm/mpmMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -o src/map/mpm/mpmMig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmPre.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c -o src/map/mpm/mpmPre.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c -o src/map/mpm/mpmTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c -o src/map/mpm/mpmUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilBitMatrix.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c -o src/misc/extra/extraUtilBitMatrix.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c -o src/misc/extra/extraUtilCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c -o src/misc/extra/extraUtilCfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCube.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c -o src/misc/extra/extraUtilCube.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilFile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c src/misc/extra/extraUtilFile.c: In function 'abc::Extra_FileNameAppend(char*, char*)': g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilFile.c:157:25: warning: '%s' directive writing up to 499 bytes into a region of size between 1 and 500 [-Wformat-overflow=] 157 | sprintf( Buffer, "%s%s", pBase, pSuffix ); | ^~ In file included from /usr/include/stdio.h:970, from src/misc/extra/extra.h:41, from src/misc/extra/extraUtilFile.c:28: In function 'sprintf', inlined from 'abc::Extra_FileNameAppend(char*, char*)' at src/misc/extra/extraUtilFile.c:157:12: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 1 and 999 bytes into a destination of size 500 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMult.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilPath.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -o src/misc/extra/extraUtilPath.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilPerm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c -o src/misc/extra/extraUtilPerm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, from src/misc/extra/extraUtilCube.c:25: src/misc/extra/extraUtilCube.c: In function 'abc::Abc_EnumerateCubeStates()': /build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:265:50: warning: product '536870912 * 8' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] 265 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/14/cstdlib:79, from /usr/include/c++/14/stdlib.h:36, from src/misc/extra/extraUtilCube.c:22: /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ -> ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -o src/misc/extra/extraUtilProgress.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilReader.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -o src/misc/extra/extraUtilReader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilSupp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c -o src/misc/extra/extraUtilSupp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c -o src/misc/extra/extraUtilTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -o src/misc/extra/extraUtilUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCompare.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcContain.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c -o src/misc/mvc/mvcContain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCover.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c -o src/misc/mvc/mvcCover.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCube.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c -o src/misc/mvc/mvcCube.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcDivide.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -o src/misc/mvc/mvcDivide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcList.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c -o src/misc/mvc/mvcList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcLits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c -o src/misc/mvc/mvcLits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -o src/misc/mvc/mvcMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcOpBool.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c -o src/misc/mvc/mvcOpBool.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcPrint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcSort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c -o src/misc/mvc/mvcUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/st/st.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c -o src/misc/st/st.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/st/stmm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c -o src/misc/st/stmm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilBridge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c -o src/misc/util/utilBridge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilBSet.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBSet.c -o src/misc/util/utilBSet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -o src/misc/util/utilCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilColor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -o src/misc/util/utilColor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilFile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c -o src/misc/util/utilFile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilIsop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c -o src/misc/util/utilIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilNam.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c -o src/misc/util/utilNam.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilPth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilPth.c -o src/misc/util/utilPth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilSignal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c -o src/misc/util/utilSignal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilSort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c -o src/misc/util/utilSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/nm/nmApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c -o src/misc/nm/nmApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/nm/nmTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c -o src/misc/nm/nmTable.o -> ABC: `` Compiling: /src/misc/tim/timBox.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c -o src/misc/tim/timBox.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timDump.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c -o src/misc/tim/timDump.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -o src/misc/tim/timMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Extra_NtkPrintBin(unsigned long long*, int)', inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] 2556 | printf( "%d", (int)((*pT >> i) & 1) ); | ^~~ src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 2560 | int i, j, k, n = 4; | ^ In function 'abc::Extra_NtkPrintBin(unsigned long long*, int)', inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] 2556 | printf( "%d", (int)((*pT >> i) & 1) ); | ^~~ src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 2560 | int i, j, k, n = 4; | ^ -> ABC: `` Compiling: /src/misc/tim/timTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -o src/misc/tim/timTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timTrav.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c -o src/misc/tim/timTrav.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mem/mem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bar/bar.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bbl/bblif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/parse/parseEqn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c -o src/misc/parse/parseEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/parse/parseStack.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c -o src/misc/parse/parseStack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -o src/opt/cut/cutMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutNode.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c -o src/opt/cut/cutNode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutOracle.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c -o src/opt/cut/cutOracle.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutPre22.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c -o src/opt/cut/cutPre22.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutSeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c -o src/opt/cut/cutSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c -o src/opt/cut/cutTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxu.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c -o src/opt/fxu/fxu.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuCreate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c -o src/opt/fxu/fxuCreate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuHeapD.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c -o src/opt/fxu/fxuHeapD.o -> ABC: `` Compiling: /src/opt/fxu/fxuHeapS.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c -o src/opt/fxu/fxuHeapS.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuList.c -> ABC: `` Compiling: /src/opt/fxu/fxuMatrix.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c -o src/opt/fxu/fxuList.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c -o src/opt/fxu/fxuMatrix.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuPair.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c -o src/opt/fxu/fxuPair.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuPrint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -o src/opt/fxu/fxuPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuReduce.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c -o src/opt/fxu/fxuReduce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuSelect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c -o src/opt/fxu/fxuSelect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuSingle.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o -> ABC: `` Compiling: /src/opt/fxch/Fxch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/FxchDiv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/FxchMan.c -> ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -o src/opt/fxch/FxchSCHashTable.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c -o src/opt/fxch/FxchMan.o -> ABC: `` Compiling: /src/opt/rwr/rwrDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c -o src/opt/rwr/rwrDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrEva.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c -o src/opt/rwr/rwrEva.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrExp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c -o src/opt/rwr/rwrExp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c -o src/opt/rwr/rwrLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c -o src/opt/rwr/rwrMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] 492 | union yyalloc | ^ frontends/verilog/verilog_parser.tab.cc:1164:7: note: a different type is defined in another translation unit 1164 | union yyalloc | ^ frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' 494 | yy_state_t yyss_alloc; | ^ frontends/verilog/verilog_parser.tab.cc:1166:14: note: a field of same name but different type is defined in another translation unit 1166 | yy_state_t yyss_alloc; | ^ -> ABC: `` Compiling: /src/opt/rwr/rwrPrint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c -o src/opt/rwr/rwrPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c -o src/opt/rwr/rwrUtil.o -> ABC: `` Compiling: /src/opt/mfs/mfsCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -o src/opt/mfs/mfsCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsDiv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c -o src/opt/mfs/mfsDiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -o src/opt/mfs/mfsInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -o src/opt/mfs/mfsMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsResub.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -o src/opt/mfs/mfsResub.o -> ABC: `` Compiling: /src/opt/mfs/mfsSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsStrash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c -o src/opt/mfs/mfsStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c -o src/opt/mfs/mfsWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c -o src/opt/sim/simMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -o src/opt/sim/simSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSupp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -o src/opt/sim/simSupp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSwitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -o src/opt/sim/simSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSym.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -o src/opt/sim/simSym.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSymSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c -o src/opt/sim/simSymSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSymSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c -o src/opt/sim/simSymSim.o -> ABC: `` Compiling: /src/opt/sim/simSymStr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -o src/opt/sim/simSymStr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simUtils.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c -o src/opt/sim/simUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retArea.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c -o src/opt/ret/retArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c -o src/opt/ret/retCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retDelay.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c -o src/opt/ret/retDelay.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retFlow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -o src/opt/ret/retFlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retIncrem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -o src/opt/ret/retIncrem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retLvalue.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -o src/opt/ret/retLvalue.o -> ABC: `` Compiling: /src/opt/fret/fretMain.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c -o src/opt/fret/fretMain.o -> ABC: `` Compiling: /src/opt/fret/fretFlow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c -o src/opt/fret/fretFlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fret/fretInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c -o src/opt/fret/fretInit.o -> ABC: `` Compiling: /src/opt/fret/fretTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -o src/opt/fret/fretTime.o -> ABC: `` Compiling: /src/opt/res/resCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c -o src/opt/res/resCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resDivs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c -o src/opt/res/resDivs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resFilter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c -o src/opt/res/resFilter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c -o src/opt/res/resSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c -o src/opt/res/resSim.o -> ABC: `` Compiling: /src/opt/res/resStrash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -o src/opt/res/resStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -o src/opt/res/resWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c -o src/opt/lpk/lpkCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c -o src/opt/lpk/lpkAbcDec.o -> ABC: `` Compiling: /src/opt/lpk/lpkAbcMux.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c -o src/opt/lpk/lpkAbcMux.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c -o src/opt/lpk/lpkAbcDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c -o src/opt/lpk/lpkAbcUtil.o -> ABC: `` Compiling: /src/opt/lpk/lpkCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c -o src/opt/lpk/lpkCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c -o src/opt/lpk/lpkMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c -o src/opt/lpk/lpkMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -o src/opt/lpk/lpkMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMux.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c -o src/opt/lpk/lpkMux.o -> ABC: `` Compiling: /src/opt/lpk/lpkSets.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c -o src/opt/lpk/lpkSets.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c -o src/opt/nwk/nwkAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c -o src/opt/nwk/nwkCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkBidec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c -o src/opt/nwk/nwkBidec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c -o src/opt/nwk/nwkDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkFanio.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c -o src/opt/nwk/nwkFanio.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkFlow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c -o src/opt/nwk/nwkFlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c -o src/opt/nwk/nwkMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -o src/opt/nwk/nwkMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -o src/opt/nwk/nwkObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkSpeedup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c -o src/opt/nwk/nwkSpeedup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkStrash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c -o src/opt/nwk/nwkStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkTiming.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c -o src/opt/nwk/nwkTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c -o src/opt/nwk/nwkUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c -o src/opt/rwt/rwtDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c -o src/opt/rwt/rwtMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c -o src/opt/rwt/rwtUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rar/rewire_rng.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rng.c -o src/opt/rar/rewire_rng.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rar/rewire_map.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_map.c -o src/opt/rar/rewire_map.o -> ABC: `` Compiling: /src/opt/rar/rewire_rar.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rar/rewire_rar.c -o src/opt/rar/rewire_rar.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c -o src/opt/cgt/cgtAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtDecide.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -o src/opt/cgt/cgtDecide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -o src/opt/cgt/cgtMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c -o src/opt/cgt/cgtSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -o src/opt/csw/cswCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -o src/opt/dar/darBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c -o src/opt/dar/darCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c -o src/opt/dar/darCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darData.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c -o src/opt/dar/darData.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c -o src/opt/dar/darLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c -o src/opt/dar/darMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darPrec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c -o src/opt/dar/darPrec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darRefact.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darScript.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c -o src/opt/dar/darScript.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c -o src/opt/dau/dauCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -o src/opt/dau/dauCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCount.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -o src/opt/dau/dauCount.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauDivs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c -o src/opt/dau/dauDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauEnum.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -o src/opt/dau/dauEnum.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauGia.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c -o src/opt/dau/dauGia.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauMerge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c -o src/opt/dau/dauMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauNonDsd.c -> ABC: `` Compiling: /src/opt/dau/dauNpn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c -o src/opt/dau/dauNonDsd.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c -o src/opt/dau/dauNpn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauNpn2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -o src/opt/dau/dauNpn2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauTree.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dsc/dsc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmArea.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmDec.c -> ABC: `` Compiling: /src/opt/sfm/sfmLib.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmNtk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -o src/opt/sfm/sfmNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -o src/opt/sfm/sfmSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmTim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c -o src/opt/sfm/sfmTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmMit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c -o src/opt/sfm/sfmMit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c -o src/opt/sfm/sfmWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c -o src/opt/sbd/sbd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -o src/opt/sbd/sbdCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -o src/opt/sbd/sbdCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCut2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c -o src/opt/sbd/sbdCut2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c -o src/opt/sbd/sbdLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdPath.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c -o src/opt/sbd/sbdPath.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c -o src/opt/sbd/sbdSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': src/opt/dau/dauTree.c:1492:22: warning: array subscript i_38 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); | ~~~~~~~~~~~~~^ src/opt/dau/dauTree.c:36:20: note: while referencing 'pFans' 36 | unsigned char pFans[0]; // fanins | ^~~~~ -> ABC: `` Compiling: /src/sat/bsat/satInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -o src/sat/bsat/satInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/opt/dau/dauTree.c: In function 'abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)': src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' 48 | unsigned char pShared[0]; // shared literals | ^~~~~~~ src/opt/dau/dauTree.c:1529:48: warning: array subscript _27 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] 1529 | pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) ); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' 48 | unsigned char pShared[0]; // shared literals | ^~~~~~~ -> ABC: `` Compiling: /src/sat/bsat/satInterA.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c -o src/sat/bsat/satInterA.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterB.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterP.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satProof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -o src/sat/bsat/satSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -o src/sat/bsat/satSolver2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2i.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satStore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -o src/sat/bsat/satStore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] 126 | enum yysymbol_kind_t frontends/verilog/verilog_parser.tab.cc:431: note: an enum with different value name is defined in another translation unit 431 | enum yysymbol_kind_t frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ frontends/verilog/verilog_parser.tab.cc:437: note: mismatching definition 437 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ -> ABC: `` Compiling: /src/sat/bsat/satTrace.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -o src/sat/bsat/satTrace.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -o src/sat/bsat/satTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c -o src/sat/bsat/satUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatSolver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o -> ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -o src/sat/xsat/xsatCnfReader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -o src/sat/satoko/solver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver_api.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/cnf_reader.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -o src/sat/satoko/cnf_reader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/xsat/xsatCnfReader.c:30: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 227 | printf( "conflicts : %10ld\n", s->Stats.nConflicts ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:228:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 228 | printf( "decisions : %10ld\n", s->Stats.nDecisions ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:229:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 229 | printf( "propagations : %10ld\n", s->Stats.nPropagations ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld -> ABC: `` Compiling: /src/sat/csat/csat_apis.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -o src/sat/csat/csat_apis.o -> ABC: `` Compiling: /src/sat/msat/msatActivity.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c -o src/sat/msat/msatActivity.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatClause.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c -o src/sat/msat/msatClause.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/xsat/xsatSolverAPI.c:29: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 227 | printf( "conflicts : %10ld\n", s->Stats.nConflicts ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:228:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 228 | printf( "decisions : %10ld\n", s->Stats.nDecisions ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:229:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 229 | printf( "propagations : %10ld\n", s->Stats.nPropagations ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolverAPI.c: In function 'void abc::xSAT_SolverPrintStats(xSAT_Solver_t*)': src/sat/xsat/xsatSolverAPI.c:341:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 341 | printf( "conflicts : %10ld\n", s->Stats.nConflicts ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolverAPI.c:342:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 342 | printf( "decisions : %10ld\n", s->Stats.nDecisions ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolverAPI.c:343:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 343 | printf( "propagations : %10ld\n", s->Stats.nPropagations ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld In file included from src/sat/xsat/xsatSolver.c:30: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 227 | printf( "conflicts : %10ld\n", s->Stats.nConflicts ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:228:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 228 | printf( "decisions : %10ld\n", s->Stats.nDecisions ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:229:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 229 | printf( "propagations : %10ld\n", s->Stats.nPropagations ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld -> ABC: `` Compiling: /src/sat/msat/msatClauseVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c -o src/sat/msat/msatClauseVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c -o src/sat/msat/msatMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatOrderH.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c -o src/sat/msat/msatOrderH.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatQueue.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c -o src/sat/msat/msatQueue.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -o src/sat/msat/msatRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -o src/sat/msat/msatSolverCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverIo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -o src/sat/msat/msatSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfData.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfFast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -o src/sat/cnf/cnfMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfPost.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfWrite.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o -> ABC: `` Compiling: /src/sat/bmc/bmcBCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -o src/sat/bmc/bmcBmc2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -o src/sat/bmc/bmcBmc3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmcAnd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -o src/sat/bmc/bmcBmcAnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmci.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -o src/sat/bmc/bmcBmci.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -o src/sat/bmc/bmcBmcG.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmcS.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c -o src/sat/bmc/bmcBmcS.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexCare.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c -o src/sat/bmc/bmcCexCare.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c -o src/sat/bmc/bmcCexCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexDepth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c -o src/sat/bmc/bmcCexDepth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexMin1.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c -o src/sat/bmc/bmcCexMin1.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexMin2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c -o src/sat/bmc/bmcCexMin2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexTools.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -o src/sat/bmc/bmcCexTools.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcChain.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c -o src/sat/bmc/bmcChain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcClp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c -o src/sat/bmc/bmcClp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcEco.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c -o src/sat/bmc/bmcEco.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcExpand.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c -o src/sat/bmc/bmcExpand.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcFault.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c -o src/sat/bmc/bmcFault.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcFx.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c -o src/sat/bmc/bmcFx.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcGen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c -o src/sat/bmc/bmcGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcICheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c -o src/sat/bmc/bmcICheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcInse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c -o src/sat/bmc/bmcInse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcLoad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -o src/sat/bmc/bmcLoad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c -o src/sat/bmc/bmcMaj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c -o src/sat/bmc/bmcMaj2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c -o src/sat/bmc/bmcMaj3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaxi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c -o src/sat/bmc/bmcMaxi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMesh.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c -o src/sat/bmc/bmcMesh.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMesh2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c -o src/sat/bmc/bmcMesh2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -o src/sat/bmc/bmcMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcUnroll.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c -o src/sat/bmc/bmcUnroll.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/kissatSolver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatSolver.c -o src/sat/kissat/kissatSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/bmc/bmcMaj.c: In function 'void abc::Exa_NpnCascadeTest6()': src/sat/bmc/bmcMaj.c:4088:62: warning: format '%lx' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] 4088 | sprintf( Command, "lutexact -I 6 -N 2 -K 5 -gvc %016lx", Truth ); | ~~~~~^ ~~~~~ | | | | | abc::word {aka long long unsigned int} | long unsigned int | %016llx -> ABC: `` Compiling: /src/sat/kissat/kissatTest.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kissatTest.c -o src/sat/kissat/kissatTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/allocate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/allocate.c -o src/sat/kissat/allocate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/analyze.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/analyze.c -o src/sat/kissat/analyze.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/allocate.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/analyze.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/ands.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ands.c -o src/sat/kissat/ands.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/arena.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/arena.c -o src/sat/kissat/arena.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/assign.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/assign.c -o src/sat/kissat/assign.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/averages.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/averages.c -o src/sat/kissat/averages.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/ands.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/arena.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/assign.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/assign.c:1: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ -> ABC: `` Compiling: /src/sat/kissat/backbone.c src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backbone.c -o src/sat/kissat/backbone.o src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/averages.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/backtrack.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/backtrack.c -o src/sat/kissat/backtrack.o -> ABC: `` Compiling: /src/sat/kissat/build.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/build.c -o src/sat/kissat/build.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/bump.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/bump.c -o src/sat/kissat/bump.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/check.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/check.c -o src/sat/kissat/check.o -> ABC: `` Compiling: /src/sat/kissat/classify.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/classify.c -o src/sat/kissat/classify.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/clause.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/clause.c -o src/sat/kissat/clause.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/collect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/collect.c -o src/sat/kissat/collect.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/backbone.c:6: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/colors.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/colors.c -o src/sat/kissat/colors.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/backtrack.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlineheap.h:5, from src/sat/kissat/bump.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/classify.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/classify.c:1: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/compact.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/compact.c -o src/sat/kissat/compact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/config.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/config.c -o src/sat/kissat/config.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/congruence.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/congruence.c -o src/sat/kissat/congruence.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/clause.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/collect.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -> ABC: `` Compiling: /src/sat/kissat/decide.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/decide.c -o src/sat/kissat/decide.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/deduce.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/deduce.c -o src/sat/kissat/deduce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/definition.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/definition.c -o src/sat/kissat/definition.o -> ABC: `` Compiling: /src/sat/kissat/dense.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dense.c -o src/sat/kissat/dense.o -> ABC: `` Compiling: /src/sat/kissat/dump.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/dump.c -o src/sat/kissat/dump.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/eliminate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/eliminate.c -o src/sat/kissat/eliminate.o In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/congruence.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/compact.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/equivalences.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/equivalences.c -o src/sat/kissat/equivalences.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/congruence.c:4: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/error.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/error.c -o src/sat/kissat/error.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/congruence.c: In function 'bool abc::closure_hash_table_is_full(closure*)': src/sat/kissat/congruence.c:395:41: warning: left shift count >= width of type [-Wshift-count-overflow] 395 | #define MAX_HASH_TABLE_SIZE ((size_t) 1 << 32) | ~~~~~~~~~~~^~~~~ src/sat/kissat/congruence.c:398:29: note: in expansion of macro 'MAX_HASH_TABLE_SIZE' 398 | if (closure->hash.size == MAX_HASH_TABLE_SIZE) | ^~~~~~~~~~~~~~~~~~~ In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/dense.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlineframes.h:5, from src/sat/kissat/decide.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/extend.c src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/extend.c -o src/sat/kissat/extend.o In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/factor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/factor.c -o src/sat/kissat/factor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/deduce.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/definition.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/dense.c:4: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/definition.c:15:12: warning: declaration of 'abc::watches* abc::definition_extractor::watches [2]' changes meaning of 'watches' [-Wchanges-meaning] 15 | watches *watches[2]; | ^~~~~~~ src/sat/kissat/definition.c:15:3: note: used here to mean 'typedef abc::vector abc::watches' 15 | watches *watches[2]; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/equivalences.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -> ABC: `` Compiling: /src/sat/kissat/fastel.c src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/fastel.c -o src/sat/kissat/fastel.o src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ -> ABC: `` Compiling: /src/sat/kissat/file.c src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/file.c -o src/sat/kissat/file.o src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/factor.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/extend.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/flags.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/flags.c -o src/sat/kissat/flags.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/eliminate.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/factor.c:7: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/factor.c:3: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/clause.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/format.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/format.c -o src/sat/kissat/format.o src/sat/kissat/factor.c:51:11: warning: declaration of 'abc::scores* abc::factoring::scores' changes meaning of 'scores' [-Wchanges-meaning] 51 | scores *scores; | ^~~~~~ src/sat/kissat/factor.c:51:3: note: used here to mean 'typedef struct abc::scores abc::scores' 51 | scores *scores; | ^~~~~~ src/sat/kissat/factor.c:44:23: note: declared here 44 | typedef struct scores scores; | ^~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/fastel.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -> ABC: `` Compiling: /src/sat/kissat/forward.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/forward.c -o src/sat/kissat/forward.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/gates.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/gates.c -o src/sat/kissat/gates.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/heap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/heap.c -o src/sat/kissat/heap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastel.c:4: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/sat/kissat/ifthenelse.c src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/ifthenelse.c -o src/sat/kissat/ifthenelse.o In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/flags.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/gates.c:7: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/import.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/import.c -o src/sat/kissat/import.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/forward.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/internal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/internal.c -o src/sat/kissat/internal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/kimits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kimits.c -o src/sat/kissat/kimits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/ifthenelse.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlineheap.h:5, from src/sat/kissat/heap.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/kitten.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kitten.c -o src/sat/kissat/kitten.o -> ABC: `` Compiling: /src/sat/kissat/krite.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/krite.c -o src/sat/kissat/krite.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/learn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/learn.c -o src/sat/kissat/learn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/import.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/logging.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/logging.c -o src/sat/kissat/logging.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/internal.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/krite.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/kitten.c:116: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -> ABC: `` Compiling: /src/sat/kissat/kucky.c src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kucky.c -o src/sat/kissat/kucky.o src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/minimize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/minimize.c -o src/sat/kissat/minimize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/kimits.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/learn.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/mode.c -> ABC: `` Compiling: /src/sat/kissat/kptions.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/mode.c -o src/sat/kissat/mode.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/kptions.c -o src/sat/kissat/kptions.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/phases.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/phases.c -o src/sat/kissat/phases.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/preprocess.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/preprocess.c -o src/sat/kissat/preprocess.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/minimize.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -> ABC: `` Compiling: /src/sat/kissat/print.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/print.c -o src/sat/kissat/print.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/kucky.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/mode.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/probe.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/probe.c -o src/sat/kissat/probe.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/phases.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/preprocess.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ -> ABC: `` Compiling: /src/sat/kissat/profile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/profile.c -o src/sat/kissat/profile.o src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/promote.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/promote.c -o src/sat/kissat/promote.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/proof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proof.c -o src/sat/kissat/proof.o -> ABC: `` Compiling: /src/sat/kissat/propbeyond.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propbeyond.c -o src/sat/kissat/propbeyond.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/propdense.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propdense.c -o src/sat/kissat/propdense.o -> ABC: `` Compiling: /src/sat/kissat/propinitially.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propinitially.c -o src/sat/kissat/propinitially.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/proprobe.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/proprobe.c -o src/sat/kissat/proprobe.o -> ABC: `` Compiling: /src/sat/kissat/propsearch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/propsearch.c -o src/sat/kissat/propsearch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/queue.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/queue.c -o src/sat/kissat/queue.o -> ABC: `` Compiling: /src/sat/kissat/reduce.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reduce.c -o src/sat/kissat/reduce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/probe.c:6: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/reluctant.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reluctant.c -o src/sat/kissat/reluctant.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/promote.h:4, from src/sat/kissat/promote.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, from src/sat/kissat/propinitially.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, from src/sat/kissat/propbeyond.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/reorder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/reorder.c -o src/sat/kissat/reorder.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, from src/sat/kissat/proprobe.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, from src/sat/kissat/propdense.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/rephase.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/rephase.c -o src/sat/kissat/rephase.o -> ABC: `` Compiling: /src/sat/kissat/report.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/report.c -o src/sat/kissat/report.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/fastassign.h:6, from src/sat/kissat/propsearch.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/resize.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resize.c -o src/sat/kissat/resize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/queue.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/reluctant.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/resolve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resolve.c -o src/sat/kissat/resolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/resources.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/resources.c -o src/sat/kissat/resources.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/restart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/restart.c -o src/sat/kissat/restart.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/reduce.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/reorder.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/sat/kissat/search.c In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/search.c -o src/sat/kissat/search.o src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/resize.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/rephase.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/shrink.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/shrink.c -o src/sat/kissat/shrink.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/smooth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/smooth.c -o src/sat/kissat/smooth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/resolve.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ -> ABC: `` Compiling: /src/sat/kissat/sort.c src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sort.c -o src/sat/kissat/sort.o In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/restart.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/stack.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/stack.c -o src/sat/kissat/stack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/statistics.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/statistics.c -o src/sat/kissat/statistics.o -> ABC: `` Compiling: /src/sat/kissat/strengthen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/strengthen.c -o src/sat/kissat/strengthen.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/search.c:7: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/search.c:4: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/shrink.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/substitute.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/substitute.c -o src/sat/kissat/substitute.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/sweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/sweep.c -o src/sat/kissat/sweep.o In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/smooth.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/terminate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/terminate.c -o src/sat/kissat/terminate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/tiers.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/tiers.c -o src/sat/kissat/tiers.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/sort.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/trail.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/trail.c -o src/sat/kissat/trail.o -> ABC: `` Compiling: /src/sat/kissat/transitive.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/transitive.c -o src/sat/kissat/transitive.o -> ABC: `` Compiling: /src/sat/kissat/utilities.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/utilities.c -o src/sat/kissat/utilities.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/vector.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vector.c -o src/sat/kissat/vector.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/strengthen.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/sweep.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/terminate.h:4, from src/sat/kissat/terminate.c:1: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/substitute.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/sweep.c:3: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/vivify.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/vivify.c -o src/sat/kissat/vivify.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/walk.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/walk.c -o src/sat/kissat/walk.o -> ABC: `` Compiling: /src/sat/kissat/warmup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/warmup.c -o src/sat/kissat/warmup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/tiers.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/sat/kissat/watch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/watch.c -o src/sat/kissat/watch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/kissat/weaken.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/kissat/weaken.c -o src/sat/kissat/weaken.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/trail.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/vector.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/transitive.c:5: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/bool/bdc/bdcCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/bdc/bdcDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/dense.h:4, from src/sat/kissat/walk.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/collect.h:4, from src/sat/kissat/vivify.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ -> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/warmup.c:4: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ In file included from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/walk.c:5: src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ In file included from src/sat/kissat/watch.h:7: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/bool/bdc/bdcTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o src/sat/kissat/vivify.c:157:13: warning: declaration of 'abc::countrefs abc::vivifier::countrefs' changes meaning of 'countrefs' [-Wchanges-meaning] 157 | countrefs countrefs; | ^~~~~~~~~ src/sat/kissat/vivify.c:157:3: note: used here to mean 'typedef struct abc::countrefs abc::countrefs' 157 | countrefs countrefs; | ^~~~~~~~~ src/sat/kissat/vivify.c:150:26: note: declared here 150 | typedef STACK (countref) countrefs; | ^~~~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/watch.c:3: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/bool/dec/decAbc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/kissat/internal.h:34, from src/sat/kissat/inlinevector.h:4, from src/sat/kissat/inline.h:4, from src/sat/kissat/weaken.c:2: src/sat/kissat/watch.h:61:9: warning: declaration of 'abc::watch abc::litwatch::watch' changes meaning of 'watch' [-Wchanges-meaning] 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:61:3: note: used here to mean 'typedef union abc::watch abc::watch' 61 | watch watch; | ^~~~~ src/sat/kissat/watch.h:14:21: note: declared here 14 | typedef union watch watch; | ^~~~~ src/sat/kissat/internal.h:104:15: warning: declaration of 'abc::termination abc::kissat::termination' changes meaning of 'termination' [-Wchanges-meaning] 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:104:3: note: used here to mean 'typedef struct abc::termination abc::termination' 104 | termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:55:28: note: declared here 55 | typedef struct termination termination; | ^~~~~~~~~~~ src/sat/kissat/internal.h:117:13: warning: declaration of 'abc::assigned* abc::kissat::assigned' changes meaning of 'assigned' [-Wchanges-meaning] 117 | assigned *assigned; | ^~~~~~~~ src/sat/kissat/internal.h:117:3: note: used here to mean 'typedef struct abc::assigned abc::assigned' 117 | assigned *assigned; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:6: src/sat/kissat/assign.h:15:25: note: declared here 15 | typedef struct assigned assigned; | ^~~~~~~~ src/sat/kissat/internal.h:118:10: warning: declaration of 'abc::flags* abc::kissat::flags' changes meaning of 'flags' [-Wchanges-meaning] 118 | flags *flags; | ^~~~~ src/sat/kissat/internal.h:118:3: note: used here to mean 'typedef struct abc::flags abc::flags' 118 | flags *flags; | ^~~~~ In file included from src/sat/kissat/internal.h:13: src/sat/kissat/flags.h:9:22: note: declared here 9 | typedef struct flags flags; | ^~~~~ src/sat/kissat/internal.h:123:10: warning: declaration of 'abc::phases abc::kissat::phases' changes meaning of 'phases' [-Wchanges-meaning] 123 | phases phases; | ^~~~~~ src/sat/kissat/internal.h:123:3: note: used here to mean 'typedef struct abc::phases abc::phases' 123 | phases phases; | ^~~~~~ In file included from src/sat/kissat/internal.h:22: src/sat/kissat/phases.h:9:23: note: declared here 9 | typedef struct phases phases; | ^~~~~~ src/sat/kissat/internal.h:125:14: warning: declaration of 'abc::eliminated abc::kissat::eliminated' changes meaning of 'eliminated' [-Wchanges-meaning] 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:125:3: note: used here to mean 'typedef struct abc::eliminated abc::eliminated' 125 | eliminated eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:69:23: note: declared here 69 | typedef STACK (value) eliminated; | ^~~~~~~~~~ src/sat/kissat/internal.h:128:10: warning: declaration of 'abc::links* abc::kissat::links' changes meaning of 'links' [-Wchanges-meaning] 128 | links *links; | ^~~~~ src/sat/kissat/internal.h:128:3: note: used here to mean 'typedef struct abc::links abc::links' 128 | links *links; | ^~~~~ In file included from src/sat/kissat/internal.h:25: src/sat/kissat/queue.h:12:22: note: declared here 12 | typedef struct links links; | ^~~~~ src/sat/kissat/internal.h:129:9: warning: declaration of 'abc::queue abc::kissat::queue' changes meaning of 'queue' [-Wchanges-meaning] 129 | queue queue; | ^~~~~ src/sat/kissat/internal.h:129:3: note: used here to mean 'typedef struct abc::queue abc::queue' 129 | queue queue; | ^~~~~ src/sat/kissat/queue.h:13:22: note: declared here 13 | typedef struct queue queue; | ^~~~~ src/sat/kissat/internal.h:138:10: warning: declaration of 'abc::frames abc::kissat::frames' changes meaning of 'frames' [-Wchanges-meaning] 138 | frames frames; | ^~~~~~ src/sat/kissat/internal.h:138:3: note: used here to mean 'typedef struct abc::frames abc::frames' 138 | frames frames; | ^~~~~~ In file included from src/sat/kissat/internal.h:15: src/sat/kissat/frames.h:27:23: note: declared here 27 | typedef STACK (frame) frames; | ^~~~~~ src/sat/kissat/internal.h:172:13: warning: declaration of 'abc::unsigneds abc::kissat::clause' changes meaning of 'clause' [-Wchanges-meaning] 172 | unsigneds clause; | ^~~~~~ src/sat/kissat/internal.h:166:3: note: used here to mean 'typedef struct abc::clause abc::clause' 166 | clause conflict; | ^~~~~~ In file included from src/sat/kissat/internal.h:10: src/sat/kissat/clause.h:14:23: note: declared here 14 | typedef struct clause clause; | ^~~~~~ src/sat/kissat/internal.h:175:9: warning: declaration of 'abc::arena abc::kissat::arena' changes meaning of 'arena' [-Wchanges-meaning] 175 | arena arena; | ^~~~~ src/sat/kissat/internal.h:175:3: note: used here to mean 'typedef struct abc::arena abc::arena' 175 | arena arena; | ^~~~~ In file included from src/sat/kissat/internal.h:4: src/sat/kissat/arena.h:25:22: note: declared here 25 | typedef STACK (ward) arena; | ^~~~~ src/sat/kissat/internal.h:176:11: warning: declaration of 'abc::vectors abc::kissat::vectors' changes meaning of 'vectors' [-Wchanges-meaning] 176 | vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:176:3: note: used here to mean 'typedef struct abc::vectors abc::vectors' 176 | vectors vectors; | ^~~~~~~ In file included from src/sat/kissat/internal.h:33: src/sat/kissat/vector.h:25:24: note: declared here 25 | typedef struct vectors vectors; | ^~~~~~~ src/sat/kissat/internal.h:179:12: warning: declaration of 'abc::watches* abc::kissat::watches' changes meaning of 'watches' [-Wchanges-meaning] 179 | watches *watches; | ^~~~~~~ src/sat/kissat/internal.h:179:3: note: used here to mean 'typedef abc::vector abc::watches' 179 | watches *watches; | ^~~~~~~ src/sat/kissat/watch.h:49:16: note: declared here 49 | typedef vector watches; | ^~~~~~~ src/sat/kissat/internal.h:186:12: warning: declaration of 'abc::averages abc::kissat::averages [2]' changes meaning of 'averages' [-Wchanges-meaning] 186 | averages averages[2]; | ^~~~~~~~ src/sat/kissat/internal.h:186:3: note: used here to mean 'typedef struct abc::averages abc::averages' 186 | averages averages[2]; | ^~~~~~~~ In file included from src/sat/kissat/internal.h:7: src/sat/kissat/averages.h:11:25: note: declared here 11 | typedef struct averages averages; | ^~~~~~~~ src/sat/kissat/internal.h:188:13: warning: declaration of 'abc::reluctant abc::kissat::reluctant' changes meaning of 'reluctant' [-Wchanges-meaning] 188 | reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:188:3: note: used here to mean 'typedef struct abc::reluctant abc::reluctant' 188 | reluctant reluctant; | ^~~~~~~~~ In file included from src/sat/kissat/internal.h:27: src/sat/kissat/reluctant.h:10:26: note: declared here 10 | typedef struct reluctant reluctant; | ^~~~~~~~~ src/sat/kissat/internal.h:190:10: warning: declaration of 'abc::bounds abc::kissat::bounds' changes meaning of 'bounds' [-Wchanges-meaning] 190 | bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:190:3: note: used here to mean 'typedef struct abc::bounds abc::bounds' 190 | bounds bounds; | ^~~~~~ In file included from src/sat/kissat/internal.h:17: src/sat/kissat/kimits.h:10:23: note: declared here 10 | typedef struct bounds bounds; | ^~~~~~ src/sat/kissat/internal.h:191:18: warning: declaration of 'abc::classification abc::kissat::classification' changes meaning of 'classification' [-Wchanges-meaning] 191 | classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:191:3: note: used here to mean 'typedef struct abc::classification abc::classification' 191 | classification classification; | ^~~~~~~~~~~~~~ In file included from src/sat/kissat/internal.h:9: src/sat/kissat/classify.h:16:31: note: declared here 16 | typedef struct classification classification; | ^~~~~~~~~~~~~~ src/sat/kissat/internal.h:192:10: warning: declaration of 'abc::delays abc::kissat::delays' changes meaning of 'delays' [-Wchanges-meaning] 192 | delays delays; | ^~~~~~ src/sat/kissat/internal.h:192:3: note: used here to mean 'typedef struct abc::delays abc::delays' 192 | delays delays; | ^~~~~~ src/sat/kissat/kimits.h:12:23: note: declared here 12 | typedef struct delays delays; | ^~~~~~ src/sat/kissat/internal.h:193:11: warning: declaration of 'abc::enabled abc::kissat::enabled' changes meaning of 'enabled' [-Wchanges-meaning] 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:193:3: note: used here to mean 'typedef struct abc::enabled abc::enabled' 193 | enabled enabled; | ^~~~~~~ src/sat/kissat/kimits.h:15:24: note: declared here 15 | typedef struct enabled enabled; | ^~~~~~~ src/sat/kissat/internal.h:194:11: warning: declaration of 'abc::limited abc::kissat::limited' changes meaning of 'limited' [-Wchanges-meaning] 194 | limited limited; | ^~~~~~~ src/sat/kissat/internal.h:194:3: note: used here to mean 'typedef struct abc::limited abc::limited' 194 | limited limited; | ^~~~~~~ src/sat/kissat/kimits.h:16:24: note: declared here 16 | typedef struct limited limited; | ^~~~~~~ src/sat/kissat/internal.h:195:10: warning: declaration of 'abc::limits abc::kissat::limits' changes meaning of 'limits' [-Wchanges-meaning] 195 | limits limits; | ^~~~~~ src/sat/kissat/internal.h:195:3: note: used here to mean 'typedef struct abc::limits abc::limits' 195 | limits limits; | ^~~~~~ src/sat/kissat/kimits.h:17:23: note: declared here 17 | typedef struct limits limits; | ^~~~~~ src/sat/kissat/internal.h:199:8: warning: declaration of 'abc::mode abc::kissat::mode' changes meaning of 'mode' [-Wchanges-meaning] 199 | mode mode; | ^~~~ src/sat/kissat/internal.h:199:3: note: used here to mean 'typedef struct abc::mode abc::mode' 199 | mode mode; | ^~~~ In file included from src/sat/kissat/internal.h:20: src/sat/kissat/mode.h:9:21: note: declared here 9 | typedef struct mode mode; | ^~~~ -> ABC: `` Compiling: /src/bool/dec/decFactor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decPrint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -o src/bool/dec/decUtil.o -> ABC: `` Compiling: /src/bool/kit/kitAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c -o src/bool/kit/kitAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitBdd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c -o src/bool/kit/kitBdd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitCloud.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c -o src/bool/kit/kitCloud.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/cloud.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c -o src/bool/kit/cloud.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c -o src/bool/kit/kitDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitFactor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c -o src/bool/kit/kitFactor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitGraph.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -o src/bool/kit/kitGraph.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitHop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -o src/bool/kit/kitHop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitIsop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitPla.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitSop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -o src/bool/kit/kitTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/lucky.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c -o src/bool/lucky/lucky.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyFast16.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c -o src/bool/lucky/luckyFast16.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyFast6.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c -o src/bool/lucky/luckyFast6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c -o src/bool/lucky/luckyRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySimple.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c -o src/bool/lucky/luckySimple.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySwapIJ.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -o src/bool/lucky/luckySwapIJ.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySwap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -o src/bool/lucky/luckySwap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbDec6.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -o src/bool/rsb/rsbDec6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -o src/bool/rsb/rsbMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rpo/rpo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o -> ABC: `` Compiling: /src/proof/pdr/pdrCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -o src/proof/pdr/pdrCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bool/bdc/bdcSpfd.c: In function 'abc::Bdc_SpfdDecomposeTest__(abc::Vec_Int_t_**)': src/bool/bdc/bdcSpfd.c:602:28: warning: product '250000000 * 24' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] 602 | p = (Bdc_Ent_t *)calloc( nFuncs, sizeof(Bdc_Ent_t) ); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/14/cstdlib:79, from /usr/include/c++/14/stdlib.h:36, from /build/reproducible-path/yosys-0.51/abc/src/bool/kit/kit.h:30, from src/bool/bdc/bdcInt.h:29, from src/bool/bdc/bdcSpfd.c:21: /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -o src/proof/pdr/pdrCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrIncr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -o src/proof/pdr/pdrIncr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrInv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o -> ABC: `` Compiling: /src/proof/pdr/pdrMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c -o src/proof/pdr/pdrTsim2.o -> ABC: `` Compiling: /src/proof/pdr/pdrTsim3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c -o src/proof/pdr/pdrTsim3.o -> ABC: `` Compiling: /src/proof/pdr/pdrUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -o src/proof/pdr/pdrUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absGla.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absGlaOld.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -o src/proof/abs/absGlaOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absIter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -o src/proof/abs/absIter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldRef.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c -o src/proof/abs/absOut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absPth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/bool/kit/kitDsd.c:21: In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/proof/abs/absRef.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRefSelect.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -o src/proof/abs/absRefSelect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRpm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c -o src/proof/abs/absRpm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRpmOld.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -o src/proof/abs/absRpmOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, from /build/reproducible-path/yosys-0.51/abc/src/aig/aig/aig.h:34, from /build/reproducible-path/yosys-0.51/abc/src/aig/saig/saig.h:29, from src/proof/pdr/pdrInt.h:28, from src/proof/pdr/pdrInv.c:21: src/proof/pdr/pdrInv.c: In function 'abc::Pdr_InvPrintStr(abc::Vec_Int_t_*, abc::Vec_Int_t_*)': /build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:264:50: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 264 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/14/cstdlib:79, from /usr/include/c++/14/stdlib.h:36, from /build/reproducible-path/yosys-0.51/abc/src/aig/aig/aig.h:30: /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^~~~~~ -> ABC: `` Compiling: /src/proof/abs/absVta.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c -o src/proof/abs/absVta.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c -o src/proof/abs/absUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -o src/proof/live/liveness.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness_sim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -o src/proof/live/liveness_sim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/ltl_parser.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c -o src/proof/live/ltl_parser.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/kliveness.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c -o src/proof/live/kliveness.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/monotone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c -o src/proof/live/monotone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/disjunctiveMonotone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c -o src/proof/live/disjunctiveMonotone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/arenaViolation.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c -o src/proof/live/arenaViolation.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/kLiveConstraints.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c -o src/proof/live/kLiveConstraints.o -> ABC: `` Compiling: /src/proof/live/combination.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c -o src/proof/live/combination.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscClass.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c -o src/proof/ssc/sscClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -o src/proof/ssc/sscSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -o src/proof/ssc/sscUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intContain.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, from /build/reproducible-path/yosys-0.51/abc/src/aig/aig/aig.h:34, from /build/reproducible-path/yosys-0.51/abc/src/aig/saig/saig.h:29, from src/sat/bmc/bmc.h:29, from src/sat/bmc/bmcMaj.c:21: In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, inlined from 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)' at src/sat/bmc/bmcMaj.c:3201:24: /build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)': src/sat/bmc/bmcMaj.c:3190:10: note: 'Entry' declared here 3190 | word Entry, Truths[100] = { 0x96, 0xE8 }; | ^~~~~ -> ABC: `` Compiling: /src/proof/int/intCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCtrex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intFrames.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -o src/proof/int/intFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -o src/proof/int/intInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intM114.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -o src/proof/int/intM114.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -o src/proof/int/intUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -o src/proof/cec/cecCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecChoice.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecClass.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c -o src/proof/cec/cecCore.o -> ABC: `` Compiling: /src/proof/cec/cecCorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -o src/proof/cec/cecCorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecIso.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -o src/proof/cec/cecIso.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o -> ABC: `` Compiling: /src/proof/cec/cecPat.c -> ABC: `` Compiling: /src/proof/cec/cecProve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecProve.c -o src/proof/cec/cecProve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -o src/proof/cec/cecSatG.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c -o src/proof/cec/cecSatG2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c -o src/proof/cec/cecSatG3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -o src/proof/cec/cecSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -o src/proof/cec/cecSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSolve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSolveG.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c -o src/proof/cec/cecSolveG.o -> ABC: `` Compiling: /src/proof/cec/cecSplit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -o src/proof/cec/cecSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSynth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/proof/cec/cecSatG2.c: In function 'void abc::exportSimValues(Gia_Man_t*, char*)': src/proof/cec/cecSatG2.c:3910:36: warning: format '%lu' expects argument of type 'long unsigned int', but argument 4 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] 3910 | fprintf( pFile, "[%d]: %lu ", j, pSim[j] ); | ~~^ ~~~~~~~ | | | | | abc::word {aka long long unsigned int} | long unsigned int | %llu src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] 1896 | if ( p->pPars->fVerbose && Status == -1 ) | ~~~~~~~^~~~~ src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; | ^~~~~~ In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3803:24: /build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': src/sat/bmc/bmcMaj.c:3801:10: note: 'Entry' declared here 3801 | word Entry; int i; | ^~~~~ In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3806:24: /build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': src/sat/bmc/bmcMaj.c:3801:10: note: 'Entry' declared here 3801 | word Entry; int i; | ^~~~~ -> ABC: `` Compiling: /src/proof/cec/cecSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -o src/proof/acec/acecCl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c -o src/proof/acec/acecCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c -o src/proof/acec/acecCo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecBo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -o src/proof/acec/acecBo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecRe.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPa.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -o src/proof/acec/acecPa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPo.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPool.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c -o src/proof/acec/acecPool.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCover.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c -o src/proof/acec/acecCover.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecFadds.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c -o src/proof/acec/acecFadds.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecMult.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -o src/proof/acec/acecMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecNorm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPolyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c -o src/proof/acec/acecPolyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecSt.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c -o src/proof/acec/acecSt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:31, from /build/reproducible-path/yosys-0.51/abc/src/aig/gia/gia.h:34, from src/proof/acec/acecInt.h:29, from src/proof/acec/acecCl.c:21: In function 'abc::Vec_IntSize(abc::Vec_Int_t_*)', inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:330:51: /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vecInt.h:398:15: warning: pointer used after 'free' [-Wuse-after-free] 398 | return p->nSize; | ^~~~~ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29: In function 'abc::Vec_IntFree(abc::Vec_Int_t_*)', inlined from 'abc::Acec_DetectAdditional(abc::Gia_Man_t_*, int)' at src/proof/acec/acecCl.c:325:20: /build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:267:48: note: call to 'free' here 267 | #define ABC_FREE(obj) ((obj) ? (free((char *) (obj)), (obj) = 0) : 0) | ~~~~^~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/proof/acec/acecTree.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c -o src/proof/acec/acecTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -o src/proof/acec/acecUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acec2Mult.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -o src/proof/acec/acec2Mult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecXor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c -o src/proof/acec/acecXor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c -o src/proof/dch/dchAig.o -> ABC: `` Compiling: /src/proof/dch/dchChoice.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c -o src/proof/dch/dchChoice.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchClass.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c -o src/proof/dch/dchClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -o src/proof/dch/dchCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o -> ABC: `` Compiling: /src/proof/dch/dchMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -o src/proof/dch/dchMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c -o src/proof/dch/dchSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c -o src/proof/dch/dchSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSimSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c -o src/proof/dch/dchSimSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c -o src/proof/dch/dchSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c -o src/proof/fraig/fraigApi.o -> ABC: `` Compiling: /src/proof/fraig/fraigCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c -o src/proof/fraig/fraigCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigFanout.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c -o src/proof/fraig/fraigFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigFeed.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c -o src/proof/fraig/fraigFeed.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c -o src/proof/fraig/fraigMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigNode.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigPrime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -o src/proof/fraig/fraigPrime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o -> ABC: `` Compiling: /src/proof/fraig/fraigUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigVec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o -> ABC: `` Compiling: /src/proof/fra/fraBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClass.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o -> ABC: `` Compiling: /src/proof/fra/fraClau.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClaus.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -o src/proof/fra/fraCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -o src/proof/fra/fraCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraHot.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraImp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraInd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -o src/proof/fra/fraInd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraIndVer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c -o src/proof/fra/fraIndVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraLcr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c -o src/proof/fra/fraLcr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c -o src/proof/fra/fraMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -o src/proof/ssw/sswAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswBmc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c -o src/proof/ssw/sswBmc.o -> ABC: `` Compiling: /src/proof/ssw/sswClass.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -o src/proof/ssw/sswClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswCnf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c -o src/proof/ssw/sswCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswConstr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -o src/proof/ssw/sswConstr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o -> ABC: `` Compiling: /src/proof/ssw/sswDyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswFilter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -o src/proof/ssw/sswFilter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswIslands.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c -o src/proof/ssw/sswIslands.o -> ABC: `` Compiling: /src/proof/ssw/sswLcorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c -o src/proof/ssw/sswLcorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c -o src/proof/ssw/sswMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPairs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswRarity.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -o src/proof/ssw/sswRarity.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSemi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -o src/proof/ssw/sswSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSimSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o -> ABC: `` Compiling: /src/proof/ssw/sswSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswUnique.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -o src/aig/aig/aigCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCuts.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c -o src/aig/aig/aigCuts.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c -o src/aig/aig/aigDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -o src/aig/aig/aigDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigFanout.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigFrames.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -o src/aig/aig/aigFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigInter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigJust.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -o src/aig/aig/aigMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMffc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -o src/aig/aig/aigMffc.o -> ABC: `` Compiling: /src/aig/aig/aigObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOrder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPack.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -o src/aig/aig/aigPack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPart.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPartReg.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPartSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRepr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRet.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -o src/aig/aig/aigRet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRetF.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c -o src/aig/aig/aigScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -o src/aig/aig/aigShow.o -> ABC: `` Compiling: /src/aig/aig/aigSplit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -o src/aig/aig/aigTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTiming.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -o src/aig/aig/aigTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTsim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -o src/aig/aig/aigUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigWin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o -> ABC: `` Compiling: /src/aig/saig/saigCone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c -o src/aig/saig/saigCone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigConstr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c -o src/aig/saig/saigConstr.o -> ABC: `` Compiling: /src/aig/saig/saigConstr2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c -o src/aig/saig/saigConstr2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigDual.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c -o src/aig/saig/saigDual.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -o src/aig/saig/saigDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigInd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c -o src/aig/saig/saigInd.o -> ABC: `` Compiling: /src/aig/saig/saigIoa.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c -o src/aig/saig/saigIoa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIso.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c -o src/aig/saig/saigIso.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIsoFast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c -o src/aig/saig/saigIsoFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIsoSlow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c -o src/aig/saig/saigIsoSlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigMiter.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c -o src/aig/saig/saigMiter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigOutDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c -o src/aig/saig/saigOutDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigPhase.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetFwd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetMin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetStep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -o src/aig/saig/saigScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimFast.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c -o src/aig/saig/saigSimFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimMv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -o src/aig/saig/saigSimMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimSeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -o src/aig/saig/saigSimSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigStrSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -o src/aig/saig/saigStrSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSwitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -o src/aig/saig/saigSwitch.o -> ABC: `` Compiling: /src/aig/saig/saigSynch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c -o src/aig/saig/saigSynch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigTempor.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -o src/aig/saig/saigTempor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigTrans.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -o src/aig/saig/saigTrans.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigWnd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -o src/aig/saig/saigWnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -o src/aig/gia/giaAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAgi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -o src/aig/gia/giaAgi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAiger.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -o src/aig/gia/giaAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAigerExt.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -o src/aig/gia/giaAigerExt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c -o src/aig/gia/giaBalAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c -o src/aig/gia/giaBalLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c -o src/aig/gia/giaBalMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBidec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c -o src/aig/gia/giaBidec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCCof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c -o src/aig/gia/giaCCof.o -> ABC: `` Compiling: /src/aig/gia/giaCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -o src/aig/gia/giaCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaClp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c -o src/aig/gia/giaClp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c -o src/aig/gia/giaCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCone.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c -o src/aig/gia/giaCone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSatOld.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c -o src/aig/gia/giaCSatOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c -o src/aig/gia/giaCSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c -o src/aig/gia/giaCSat2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c -o src/aig/gia/giaCSat3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSatP.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -o src/aig/gia/giaCSatP.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCTas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -o src/aig/gia/giaCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDecs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c -o src/aig/gia/giaDecs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDeep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c -o src/aig/gia/giaDeep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -o src/aig/gia/giaDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEdge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c -o src/aig/gia/giaEdge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEmbed.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEnable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEquiv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEra.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c -o src/aig/gia/giaEra.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEra2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -o src/aig/gia/giaEra2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEsop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaExist.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFalse.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFanout.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -o src/aig/gia/giaFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaForce.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -o src/aig/gia/giaForce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFrames.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -o src/aig/gia/giaFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFront.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -o src/aig/gia/giaFront.o -> ABC: `` Compiling: /src/aig/gia/giaFx.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -o src/aig/gia/giaFx.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaGen.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c -o src/aig/gia/giaGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaGig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c -o src/aig/gia/giaGig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaGlitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c -o src/aig/gia/giaGlitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaHash.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c -o src/aig/gia/giaHash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaGen.c: In function 'void abc::Gia_ManGenNeuronDumpVerilog(Vec_Wrd_t*, int, int)': src/aig/gia/giaGen.c:1037:39: warning: format '%lX' expects argument of type 'long unsigned int', but argument 4 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] 1037 | fprintf( pFile, "assign o = %d'h%lX", nOBits, Vec_WrdEntryLast(vData) ); | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~ | | | | long unsigned int abc::word {aka long long unsigned int} | %llX src/aig/gia/giaGen.c:1040:45: warning: format '%lX' expects argument of type 'long unsigned int', but argument 4 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] 1040 | fprintf( pFile, "\n + %d'h%lX * i[%d:%d]", nOBits, Data, nIBits*(i+1)-1, nIBits*i ); | ~~^ ~~~~ | | | | long unsigned int abc::word {aka long long unsigned int} | %llX -> ABC: `` Compiling: /src/aig/gia/giaIf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c -o src/aig/gia/giaIf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIff.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c -o src/aig/gia/giaIff.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIiff.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -o src/aig/gia/giaIiff.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIso.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c -o src/aig/gia/giaIso.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIso2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -o src/aig/gia/giaIso2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIso3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c -o src/aig/gia/giaIso3.o -> ABC: `` Compiling: /src/aig/gia/giaJf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c -o src/aig/gia/giaJf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaKf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c -o src/aig/gia/giaKf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaLf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c -o src/aig/gia/giaLf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c -o src/aig/gia/giaMf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMini.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o -> ABC: `` Compiling: /src/aig/gia/giaMinLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMinLut2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMulFind.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMulFind.c -o src/aig/gia/giaMulFind.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMuxes.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaNf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaOf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaPack.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaPat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaPat2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaPf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaQbf.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaEquiv.c:21: src/aig/gia/giaEquiv.c: In function 'abc::Gia_ManTransferEquivs2(abc::Gia_Man_t_*, abc::Gia_Man_t_*)': /build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:265:50: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 265 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/14/cstdlib:79, from /usr/include/c++/14/stdlib.h:36, from src/aig/gia/gia.h:30: /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaReshape1.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaReshape2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaResub.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaResub2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaResub3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o -> ABC: `` Compiling: /src/aig/gia/giaResub6.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaRetime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1468:26: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In file included from /usr/include/stdio.h:970, from src/aig/gia/gia.h:29, from src/aig/gia/giaMan.c:23: In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1468:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1471:26: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1471:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1475:26: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1475:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1479:26: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1479:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1493:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1493:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1499:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1499:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1555:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1555:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1560:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1560:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1580:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1580:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1581:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1581:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1582:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1582:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1586:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1586:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1587:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1587:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1606:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInter(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1606:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaRex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1200:38: src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 360 | p->pLeaves[0] = i; | ~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1201:38: src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 360 | p->pLeaves[0] = i; | ~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1236:38: src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 360 | p->pLeaves[0] = i; | ~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1252:38: src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 360 | p->pLeaves[0] = i; | ~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1648:26: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1648:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1651:26: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1651:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1655:26: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1655:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1659:26: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*, int)' at src/aig/gia/giaMan.c:1400:35, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1659:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1673:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1673:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1679:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1679:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1735:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1735:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1740:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1740:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1760:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1760:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1761:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1761:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1762:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1762:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1766:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1766:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1767:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1767:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1779:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNoInterAssign(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int, int)' at src/aig/gia/giaMan.c:1779:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaSatEdge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/sat/bsat/satSolver.h:29, from /build/reproducible-path/yosys-0.51/abc/src/sat/bsat/satStore.h:36, from src/aig/gia/giaOf.c:30: src/aig/gia/giaOf.c: In function 'abc::Of_ManComputeForwardDirconCut(abc::Of_Man_t_*, int, int*, int*, int*)': src/aig/gia/giaOf.c:1324:21: warning: 'Delays' may be used uninitialized [-Wmaybe-uninitialized] 1324 | assert( Delays[0] >= 0 && Delays[nSize-1] >= 0 ); | ~~~~~~~~^ src/aig/gia/giaOf.c:1307:9: note: 'Delays' declared here 1307 | int Delays[6], Perm[6] = {0, 1, 2, 3, 4, 5}; | ^~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaSatLE.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1972:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1972:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1977:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1977:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1986:16: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1986:16: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1987:16: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1987:16: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1988:16: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1988:16: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1991:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1991:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1992:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:1992:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2004:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterface(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2004:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaSatLut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2083:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2083:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2088:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2088:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2097:16: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2097:16: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2098:16: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2098:16: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2099:16: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2099:16: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2102:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2102:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2103:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2103:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2115:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [-536870911, 178956970] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpInterfaceAssign(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2115:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaSatMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatoko.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -o src/aig/gia/giaSatoko.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatSyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c -o src/aig/gia/giaSatSyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2176:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2176:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2179:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2179:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2183:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2183:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2187:20: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2187:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2192:134: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2192:134: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)': src/aig/gia/giaMan.c:1387:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2205:116: src/aig/gia/giaMan.c:1387:27: note: directive argument in the range [0, 2147483646] 1387 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1387:16, inlined from 'abc::Gia_ManDumpVerilogNand(abc::Gia_Man_t_*, char*)' at src/aig/gia/giaMan.c:2205:116: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaSat3.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c -o src/aig/gia/giaSat3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaScl.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -o src/aig/gia/giaScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaScript.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o -> ABC: `` Compiling: /src/aig/gia/giaShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -o src/aig/gia/giaShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -o src/aig/gia/giaShrink.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink6.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink7.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o -> ABC: `` Compiling: /src/aig/gia/giaSif.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -o src/aig/gia/giaSif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -o src/aig/gia/giaSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSim2.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -o src/aig/gia/giaSim2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSimBase.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c -o src/aig/gia/giaSimBase.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c -o src/aig/gia/giaSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSpeedup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c -o src/aig/gia/giaSpeedup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSplit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c -o src/aig/gia/giaSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaStg.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c -o src/aig/gia/giaStg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaStoch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c -o src/aig/gia/giaStoch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaStr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -o src/aig/gia/giaStr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSupMin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -o src/aig/gia/giaSupMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSupp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSupps.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSweeper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSwitch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTis.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTranStoch.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -o src/aig/gia/giaTranStoch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTsim.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -o src/aig/gia/giaTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaUnate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -o src/aig/gia/giaUnate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBound.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBound.c -o src/aig/gia/giaBound.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCanon.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -o src/aig/ivy/ivyCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -o src/aig/ivy/ivyCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCut.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -o src/aig/ivy/ivyCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -o src/aig/ivy/ivyCutTrav.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -o src/aig/ivy/ivyDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyDsd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c -o src/aig/ivy/ivyDsd.o -> ABC: `` Compiling: /src/aig/ivy/ivyFanout.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c -o src/aig/ivy/ivyFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFastMap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -o src/aig/ivy/ivyFastMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFraig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -o src/aig/ivy/ivyFraig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyHaig.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -o src/aig/ivy/ivyMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -o src/aig/ivy/ivyMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMulti.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyOper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyResyn.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -o src/aig/ivy/ivyResyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyRwr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivySeq.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)': src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 400 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); | ~~~~~~~~~~~~~~~~^ src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ src/aig/ivy/ivyFastMap.c:407:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 407 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); | ~~~~~~~~~~~~~~~~^ src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ -> ABC: `` Compiling: /src/aig/ivy/ivyShow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -o src/aig/ivy/ivyShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopBalance.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -o src/aig/hop/hopBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -o src/aig/hop/hopCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: src/aig/ivy/ivyFastMap.c:486:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 486 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); | ~~~~~~~~~~~~~~~~^ src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: src/aig/ivy/ivyFastMap.c:494:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 494 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); | ~~~~~~~~~~~~~~~~^ src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ -> ABC: `` Compiling: /src/aig/hop/hopDfs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -o src/aig/hop/hopDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopMem.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -o src/aig/hop/hopMem.o -> ABC: `` Compiling: /src/aig/hop/hopObj.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopOper.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopTruth.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o -> ABC: `` Compiling: /src/aig/hop/hopUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -o src/aig/hop/hopUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o -> ABC: `` Compiling: /src/bdd/cudd/cuddAddAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c -o src/bdd/cudd/cuddAddAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -o src/bdd/cudd/cuddAddApply.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -o src/bdd/cudd/cuddAddIte.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -o src/bdd/cudd/cuddAddWalsh.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAndAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c -o src/bdd/cudd/cuddAndAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -o src/bdd/cudd/cuddAnneal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddApa.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -o src/bdd/cudd/cuddApprox.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddAbs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c -o src/bdd/cudd/cuddBddAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddCorr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c -o src/bdd/cudd/cuddBddCorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddIte.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -o src/bdd/cudd/cuddBddIte.o -> ABC: `` Compiling: /src/bdd/cudd/cuddBridge.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c -o src/bdd/cudd/cuddBridge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCache.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -o src/bdd/cudd/cuddCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddClip.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -o src/bdd/cudd/cuddClip.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -o src/bdd/cudd/cuddCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o -> ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddExact.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddExport.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -o src/bdd/cudd/cuddExport.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -o src/bdd/cudd/cuddGenCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -o src/bdd/cudd/cuddGenetic.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -o src/bdd/cudd/cuddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddHarwell.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c -o src/bdd/cudd/cuddHarwell.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddInit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o -> ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o -> ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -o src/bdd/cudd/cuddLevelQ.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c -o src/bdd/cudd/cuddLinear.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLiteral.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c -o src/bdd/cudd/cuddLiteral.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddMatMult.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -o src/bdd/cudd/cuddMatMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddRead.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -o src/bdd/cudd/cuddRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddRef.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -o src/bdd/cudd/cuddRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSat.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -o src/bdd/cudd/cuddSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSign.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -o src/bdd/cudd/cuddSign.o -> ABC: `` Compiling: /src/bdd/cudd/cuddSolve.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -o src/bdd/cudd/cuddSolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSplit.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c -o src/bdd/cudd/cuddSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddTable.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o -> ABC: `` Compiling: /src/bdd/cudd/cuddUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c -o src/bdd/cudd/cuddUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddIsop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c -o src/bdd/cudd/cuddZddIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddLin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c -o src/bdd/cudd/cuddZddLin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -o src/bdd/cudd/cuddZddPort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -o src/bdd/cudd/cuddZddReord.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddSetop.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c -o src/bdd/cudd/cuddZddSetop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddSymm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c -o src/bdd/cudd/cuddZddSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddUtil.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c -o src/bdd/cudd/cuddZddUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddAuto.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c -o src/bdd/extrab/extraBddAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -o src/bdd/extrab/extraBddCas.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -o src/bdd/extrab/extraBddKmap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c -o src/bdd/extrab/extraBddMaxMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -o src/bdd/extrab/extraBddSet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -o src/bdd/extrab/extraBddSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /build/reproducible-path/yosys-0.51/abc/src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaSimBase.c:21: In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: /build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here 3586 | word Entry; int i; | ^~~~~ In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at /build/reproducible-path/yosys-0.51/abc/src/misc/util/utilTruth.h:1597:15, inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: /build/reproducible-path/yosys-0.51/abc/src/misc/util/abc_global.h:303:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 303 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here 3586 | word Entry; int i; | ^~~~~ -> ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -o src/bdd/extrab/extraBddThresh.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -o src/bdd/extrab/extraBddTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddUnate.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c -o src/bdd/extrab/extraBddUnate.o -> ABC: `` Compiling: /src/bdd/dsd/dsdApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -o src/bdd/dsd/dsdApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdCheck.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c -o src/bdd/dsd/dsdCheck.o -> ABC: `` Compiling: /src/bdd/dsd/dsdLocal.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c -o src/bdd/dsd/dsdLocal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdMan.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c -o src/bdd/dsd/dsdMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdProc.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -o src/bdd/dsd/dsdProc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdTree.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -o src/bdd/dsd/dsdTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/epd/epd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -o src/bdd/epd/epd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c -o src/bdd/mtr/mtrBasic.o -> ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -o src/bdd/mtr/mtrGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoApi.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoProfile.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoShuffle.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -o src/bdd/reo/reoShuffle.o -> ABC: `` Compiling: /src/bdd/reo/reoSift.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -o src/bdd/reo/reoSift.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSwap.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoTransfer.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoUnits.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casCore.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -o src/bdd/cas/casCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casDec.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -o src/bdd/cas/casDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrCex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c -o src/bdd/bbr/bbrCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrImage.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c -o src/bdd/bbr/bbrImage.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrNtbdd.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -o src/bdd/bbr/bbrNtbdd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrReach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -o src/bdd/bbr/bbrReach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Constr.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Core.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Group.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Hint.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -o src/bdd/llb/llb1Hint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Man.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -o src/bdd/llb/llb1Man.o -> ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -o src/bdd/llb/llb1Matrix.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Pivot.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -o src/bdd/llb/llb1Pivot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Reach.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Sched.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c -o src/bdd/llb/llb1Sched.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Bad.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c -o src/bdd/llb/llb2Bad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Core.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c -o src/bdd/llb/llb2Core.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Driver.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c -o src/bdd/llb/llb2Driver.o -> ABC: `` Compiling: /src/bdd/llb/llb2Dump.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -o src/bdd/llb/llb2Dump.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Flow.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Image.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Image.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o -> ABC: `` Compiling: /src/bdd/llb/llb4Cex.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb4Image.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/llb/llb2Dump.c: In function 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)': src/bdd/llb/llb2Dump.c:48:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Llb_ManGetDummyName(char*, int, int)', inlined from 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)' at src/bdd/llb/llb2Dump.c:77:36: src/bdd/llb/llb2Dump.c:48:22: note: directive argument in the range [0, 2147483646] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:970, from src/bdd/llb/llbInt.h:29, from src/bdd/llb/llb2Dump.c:21: In function 'sprintf', inlined from 'abc::Llb_ManGetDummyName(char*, int, int)' at src/bdd/llb/llb2Dump.c:48:12, inlined from 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)' at src/bdd/llb/llb2Dump.c:77:36: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c g++ -c -g -O -I/build/reproducible-path/yosys-0.51/abc/src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.51=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Building binary: abc g++ -o abc src/map/if/acd/ac_wrapper.o src/opt/rar/rewire_miaig.o src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/sat/glucose2/AbcGlucose2.o src/sat/glucose2/AbcGlucoseCmd2.o src/sat/glucose2/Glucose2.o src/sat/glucose2/Options2.o src/sat/glucose2/SimpSolver2.o src/sat/glucose2/System2.o src/aig/gia/giaRrr.o src/aig/gia/giaTransduction.o src/aig/gia/giaTtopt.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOrchestration.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteEdgelist.o src/base/io/ioWriteGml.o src/base/io/ioWriteHMetis.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnBlast.o src/base/wln/wlnCom.o src/base/wln/wlnGuide.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRead.o src/base/wln/wlnRetime.o src/base/wln/wlnRtl.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbTest.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec66.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilBSet.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilPth.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/rar/rewire_rng.o src/opt/rar/rewire_map.o src/opt/rar/rewire_rar.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/sat/kissat/kissatSolver.o src/sat/kissat/kissatTest.o src/sat/kissat/allocate.o src/sat/kissat/analyze.o src/sat/kissat/ands.o src/sat/kissat/arena.o src/sat/kissat/assign.o src/sat/kissat/averages.o src/sat/kissat/backbone.o src/sat/kissat/backtrack.o src/sat/kissat/build.o src/sat/kissat/bump.o src/sat/kissat/check.o src/sat/kissat/classify.o src/sat/kissat/clause.o src/sat/kissat/collect.o src/sat/kissat/colors.o src/sat/kissat/compact.o src/sat/kissat/config.o src/sat/kissat/congruence.o src/sat/kissat/decide.o src/sat/kissat/deduce.o src/sat/kissat/definition.o src/sat/kissat/dense.o src/sat/kissat/dump.o src/sat/kissat/eliminate.o src/sat/kissat/equivalences.o src/sat/kissat/error.o src/sat/kissat/extend.o src/sat/kissat/factor.o src/sat/kissat/fastel.o src/sat/kissat/file.o src/sat/kissat/flags.o src/sat/kissat/format.o src/sat/kissat/forward.o src/sat/kissat/gates.o src/sat/kissat/heap.o src/sat/kissat/ifthenelse.o src/sat/kissat/import.o src/sat/kissat/internal.o src/sat/kissat/kimits.o src/sat/kissat/kitten.o src/sat/kissat/krite.o src/sat/kissat/learn.o src/sat/kissat/logging.o src/sat/kissat/kucky.o src/sat/kissat/minimize.o src/sat/kissat/mode.o src/sat/kissat/kptions.o src/sat/kissat/phases.o src/sat/kissat/preprocess.o src/sat/kissat/print.o src/sat/kissat/probe.o src/sat/kissat/profile.o src/sat/kissat/promote.o src/sat/kissat/proof.o src/sat/kissat/propbeyond.o src/sat/kissat/propdense.o src/sat/kissat/propinitially.o src/sat/kissat/proprobe.o src/sat/kissat/propsearch.o src/sat/kissat/queue.o src/sat/kissat/reduce.o src/sat/kissat/reluctant.o src/sat/kissat/reorder.o src/sat/kissat/rephase.o src/sat/kissat/report.o src/sat/kissat/resize.o src/sat/kissat/resolve.o src/sat/kissat/resources.o src/sat/kissat/restart.o src/sat/kissat/search.o src/sat/kissat/shrink.o src/sat/kissat/smooth.o src/sat/kissat/sort.o src/sat/kissat/stack.o src/sat/kissat/statistics.o src/sat/kissat/strengthen.o src/sat/kissat/substitute.o src/sat/kissat/sweep.o src/sat/kissat/terminate.o src/sat/kissat/tiers.o src/sat/kissat/trail.o src/sat/kissat/transitive.o src/sat/kissat/utilities.o src/sat/kissat/vector.o src/sat/kissat/vivify.o src/sat/kissat/walk.o src/sat/kissat/warmup.o src/sat/kissat/watch.o src/sat/kissat/weaken.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecProve.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSatG2.o src/proof/cec/cecSatG3.o src/proof/cec/cecSeq.o src/proof/cec/cecSim.o src/proof/cec/cecSolve.o src/proof/cec/cecSolveG.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCSat3.o src/aig/gia/giaCSatP.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDecs.o src/aig/gia/giaDeep.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGen.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMinLut.o src/aig/gia/giaMinLut2.o src/aig/gia/giaMulFind.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPat2.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaReshape1.o src/aig/gia/giaReshape2.o src/aig/gia/giaResub.o src/aig/gia/giaResub2.o src/aig/gia/giaResub3.o src/aig/gia/giaResub6.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSatSyn.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSif.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSimBase.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStoch.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSupps.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTranStoch.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/gia/giaBound.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -lreadline -lpthread -lm -ldl -lrt -lbz2 -lz -lstdc++ src/sat/glucose/Glucose.cpp:913:8: warning: type 'struct reduceDB_lt' violates the C++ One Definition Rule [-Wodr] 913 | struct reduceDB_lt { | ^ src/sat/glucose2/Glucose2.cpp:1073:8: note: a different type is defined in another translation unit 1073 | struct reduceDB_lt { | ^ src/sat/glucose/Glucose.cpp:914:22: note: the first difference of corresponding definitions is field 'ca' 914 | ClauseAllocator& ca; | ^ src/sat/glucose2/Glucose2.cpp:1074:22: note: a field of same name but different type is defined in another translation unit 1074 | ClauseAllocator& ca; | ^ ./abc/src/sat/glucose/SolverTypes.h:217:7: note: type name 'abc::Gluco::ClauseAllocator' should match type name 'abc::Gluco2::ClauseAllocator' ./abc/src/sat/glucose2/SolverTypes.h:223:7: note: the incompatible type is defined here src/map/if/ifDec16.c:38:8: warning: type 'struct If_Grp_t_' violates the C++ One Definition Rule [-Wodr] 38 | struct If_Grp_t_ | ^ src/map/if/ifDec66.c:37:8: note: a different type is defined in another translation unit 37 | struct If_Grp_t_ | ^ src/map/if/ifDec16.c:42:16: note: the first difference of corresponding definitions is field 'pVars' 42 | char pVars[CLU_VAR_MAX]; | ^ src/map/if/ifDec66.c:41:16: note: a field of same name but different type is defined in another translation unit 41 | char pVars[CLU_VAR_MAX]; | ^ src/map/if/ifDec16.c:38:8: note: array types have different bounds 38 | struct If_Grp_t_ | ^ src/map/if/ifTest.c:209:16: warning: type 'struct Par_ThData_t_' violates the C++ One Definition Rule [-Wodr] 209 | typedef struct Par_ThData_t_ | ^ src/sat/bmc/bmcBmcS.c:733:16: note: a different type is defined in another translation unit 733 | typedef struct Par_ThData_t_ | ^ src/map/if/ifTest.c:211:17: note: the first difference of corresponding definitions is field 'p' 211 | Gia_Man_t * p; | ^ src/sat/bmc/bmcBmcS.c:735:23: note: a field with different name is defined in another translation unit 735 | bmc_sat_solver * pSat; | ^ src/base/pla/plaHash.c:72:8: warning: type 'struct Tab_Obj_t_' violates the C++ One Definition Rule [-Wodr] 72 | struct Tab_Obj_t_ | ^ src/sat/bmc/bmcFx.c:50:8: note: a different type is defined in another translation unit 50 | struct Tab_Obj_t_ | ^ src/base/pla/plaHash.c:76:17: note: the first difference of corresponding definitions is field 'Cube' 76 | int Cube; | ^ src/sat/bmc/bmcFx.c:54:17: note: a field with different name is defined in another translation unit 54 | unsigned Cost : 17; | ^ src/sat/bmc/bmcMaj.c:47:8: warning: type 'struct Maj_Man_t_' violates the C++ One Definition Rule [-Wodr] 47 | struct Maj_Man_t_ | ^ src/sat/bmc/bmcMaj2.c:36:8: note: a different type is defined in another translation unit 36 | struct Maj_Man_t_ | ^ src/sat/bmc/bmcMaj.c:56:23: note: the first difference of corresponding definitions is field 'vInfo' 56 | Vec_Wrd_t * vInfo; // Const0 + Const1 + nVars + nNodes + Maj(nVars) | ^ src/sat/bmc/bmcMaj2.c:45:23: note: a field with different name is defined in another translation unit 45 | int fUseRand; // use random topology | ^ src/sat/bmc/bmcMaj.c:422:8: warning: type 'struct Exa_Man_t_' violates the C++ One Definition Rule [-Wodr] 422 | struct Exa_Man_t_ | ^ src/sat/bmc/bmcMaj2.c:517:8: note: a different type is defined in another translation unit 517 | struct Exa_Man_t_ | ^ src/sat/bmc/bmcMaj.c:432:23: note: the first difference of corresponding definitions is field 'VarMarks' 432 | int VarMarks[MAJ_NOBJS][2][MAJ_NOBJS]; // variable marks | ^ src/sat/bmc/bmcMaj2.c:527:23: note: a field of same name but different type is defined in another translation unit 527 | int VarMarks[MAJ_NOBJS][2][MAJ_NOBJS]; // variable marks | ^ src/sat/bmc/bmcMaj.c:422:8: note: array types have different bounds 422 | struct Exa_Man_t_ | ^ src/sat/bmc/bmcMaj.c:974:8: warning: type 'struct Exa3_Man_t_' violates the C++ One Definition Rule [-Wodr] 974 | struct Exa3_Man_t_ | ^ src/sat/bmc/bmcMaj2.c:898:8: note: a different type is defined in another translation unit 898 | struct Exa3_Man_t_ | ^ src/sat/bmc/bmcMaj.c:986:23: note: the first difference of corresponding definitions is field 'vUsed2' 986 | Vec_Bit_t * vUsed2; // bit masks | ^ src/sat/bmc/bmcMaj2.c:910:23: note: a field with different name is defined in another translation unit 910 | int VarMarks[MAJ_NOBJS][6][MAJ_NOBJS]; // variable marks | ^ src/sat/satoko/clause.h:17:8: warning: type 'struct clause' violates the C++ One Definition Rule [-Wodr] 17 | struct clause { | ^ src/sat/kissat/clause.h:22:8: note: a different type is defined in another translation unit 22 | struct clause { | ^ src/sat/satoko/clause.h:18:14: note: the first difference of corresponding definitions is field 'f_learnt' 18 | unsigned f_learnt : 1; | ^ src/sat/kissat/clause.h:23:12: note: a field with different name is defined in another translation unit 23 | unsigned glue : LD_MAX_GLUE; | ^ src/map/cov/covInt.h:37:8: warning: type 'struct Min_Man_t_' violates the C++ One Definition Rule [-Wodr] 37 | struct Min_Man_t_ | ^ src/aig/gia/giaPat2.c:35:8: note: a different type is defined in another translation unit 35 | struct Min_Man_t_ | ^ src/map/cov/covInt.h:39:23: note: the first difference of corresponding definitions is field 'nVars' 39 | int nVars; // the number of vars | ^ src/aig/gia/giaPat2.c:37:22: note: a field with different name is defined in another translation unit 37 | int nCis; | ^ src/base/abci/abcPart.c:1279:16: warning: type 'struct StochSynData_t_' violates the C++ One Definition Rule [-Wodr] 1279 | typedef struct StochSynData_t_ | ^ src/aig/gia/giaStoch.c:150: note: a different type is defined in another translation unit 150 | typedef struct StochSynData_t_ src/base/abci/abcPart.c:1281:18: note: the first difference of corresponding definitions is field 'pIn' 1281 | Abc_Ntk_t * pIn; | ^ src/aig/gia/giaStoch.c:152: note: a field of same name but different type is defined in another translation unit 152 | Gia_Man_t * pIn; src/base/abci/abcPart.c:1279:16: note: type name 'abc::Abc_Ntk_t_' should match type name 'abc::Gia_Man_t_' 1279 | typedef struct StochSynData_t_ | ^ src/base/abci/abcPart.c:41:8: warning: type 'struct Supp_Man_t_' violates the C++ One Definition Rule [-Wodr] 41 | struct Supp_Man_t_ | ^ src/aig/gia/giaSupps.c:35: note: a different type is defined in another translation unit 35 | struct Supp_Man_t_ src/base/abci/abcPart.c:43:22: note: the first difference of corresponding definitions is field 'nChunkSize' 43 | int nChunkSize; // the size of one chunk of memory (~1 MB) | ^ src/aig/gia/giaSupps.c:38: note: a field with different name is defined in another translation unit 38 | int nIters; // optimization rounds src/bdd/cudd/cuddApprox.c:120: warning: type 'struct NodeData' violates the C++ One Definition Rule [-Wodr] 120 | typedef struct NodeData { src/bdd/cudd/cuddSubsetHB.c:103: note: a different type is defined in another translation unit 103 | struct NodeData { src/bdd/cudd/cuddApprox.c:121: note: the first difference of corresponding definitions is field 'mintermsP' 121 | double mintermsP; /* minterms for the regular node */ src/bdd/cudd/cuddSubsetHB.c:104: note: a field with different name is defined in another translation unit 104 | double *mintermPointer; src/bdd/llb/llb3Image.c:47: warning: type 'struct Llb_Mgr_t_' violates the C++ One Definition Rule [-Wodr] 47 | struct Llb_Mgr_t_ src/bdd/llb/llb4Image.c:47: note: a different type is defined in another translation unit 47 | struct Llb_Mgr_t_ src/bdd/llb/llb3Image.c:49: note: the first difference of corresponding definitions is field 'pAig' 49 | Aig_Man_t * pAig; // AIG manager src/bdd/llb/llb4Image.c:49: note: a field with different name is defined in another translation unit 49 | DdManager * dd; // working BDD manager src/sat/kissat/weaken.h:12:6: warning: 'kissat_weaken_clause' violates the C++ One Definition Rule [-Wodr] 12 | void kissat_weaken_clause (struct kissat *, unsigned lit, struct clause *); | ^ src/sat/kissat/weaken.c:38:6: note: type mismatch in parameter 3 38 | void kissat_weaken_clause (kissat *solver, unsigned lit, clause *c) { | ^ src/sat/kissat/weaken.c:38:6: note: 'kissat_weaken_clause' was previously declared here src/sat/kissat/strengthen.h:12:16: warning: 'kissat_on_the_fly_strengthen' violates the C++ One Definition Rule [-Wodr] 12 | struct clause *kissat_on_the_fly_strengthen (struct kissat *, | ^ src/sat/kissat/strengthen.c:144:9: note: return value type mismatch 144 | clause *kissat_on_the_fly_strengthen (kissat *solver, clause *c, | ^ src/sat/kissat/strengthen.c:144:9: note: 'kissat_on_the_fly_strengthen' was previously declared here src/sat/kissat/strengthen.h:15:6: warning: 'kissat_on_the_fly_subsume' violates the C++ One Definition Rule [-Wodr] 15 | void kissat_on_the_fly_subsume (struct kissat *, struct clause *, | ^ src/sat/kissat/strengthen.c:158:6: note: type mismatch in parameter 2 158 | void kissat_on_the_fly_subsume (kissat *solver, clause *c, clause *d) { | ^ src/sat/kissat/strengthen.c:158:6: note: 'kissat_on_the_fly_subsume' was previously declared here src/sat/kissat/deduce.h:15:6: warning: 'kissat_recompute_and_promote' violates the C++ One Definition Rule [-Wodr] 15 | bool kissat_recompute_and_promote (struct kissat *, struct clause *); | ^ src/sat/kissat/deduce.c:31:6: note: type mismatch in parameter 2 31 | bool kissat_recompute_and_promote (kissat *solver, clause *c) { | ^ src/sat/kissat/deduce.c:31:6: note: 'kissat_recompute_and_promote' was previously declared here src/sat/kissat/propsearch.h:10:16: warning: 'kissat_search_propagate' violates the C++ One Definition Rule [-Wodr] 10 | struct clause *kissat_search_propagate (struct kissat *); | ^ src/sat/kissat/propsearch.c:46:9: note: return value type mismatch 46 | clause *kissat_search_propagate (kissat *solver) { | ^ src/sat/kissat/propsearch.c:46:9: note: 'kissat_search_propagate' was previously declared here src/sat/kissat/proprobe.h:12:16: warning: 'kissat_probing_propagate' violates the C++ One Definition Rule [-Wodr] 12 | struct clause *kissat_probing_propagate (struct kissat *, struct clause *, | ^ src/sat/kissat/proprobe.c:37:9: note: return value type mismatch 37 | clause *kissat_probing_propagate (kissat *solver, clause *ignore, | ^ src/sat/kissat/proprobe.c:37:9: note: 'kissat_probing_propagate' was previously declared here src/sat/kissat/eliminate.h:19:6: warning: 'kissat_eliminate_clause' violates the C++ One Definition Rule [-Wodr] 19 | void kissat_eliminate_clause (struct kissat *, struct clause *, unsigned); | ^ src/sat/kissat/eliminate.c:128:6: note: type mismatch in parameter 2 128 | void kissat_eliminate_clause (kissat *solver, clause *c, unsigned lit) { | ^ src/sat/kissat/eliminate.c:128:6: note: 'kissat_eliminate_clause' was previously declared here src/sat/kissat/deduce.h:12:16: warning: 'kissat_deduce_first_uip_clause' violates the C++ One Definition Rule [-Wodr] 12 | struct clause *kissat_deduce_first_uip_clause (struct kissat *, | ^ src/sat/kissat/deduce.c:72:9: note: return value type mismatch 72 | clause *kissat_deduce_first_uip_clause (kissat *solver, clause *conflict) { | ^ src/sat/kissat/deduce.c:72:9: note: 'kissat_deduce_first_uip_clause' was previously declared here src/sat/kissat/assign.h:57:6: warning: 'kissat_assign_reference' violates the C++ One Definition Rule [-Wodr] 57 | void kissat_assign_reference (struct kissat *, unsigned lit, reference, | ^ src/sat/kissat/assign.c:49:6: note: type mismatch in parameter 4 49 | void kissat_assign_reference (kissat *solver, unsigned lit, reference ref, | ^ src/sat/kissat/assign.c:49:6: note: 'kissat_assign_reference' was previously declared here src/sat/kissat/analyze.h:12:5: warning: 'kissat_analyze' violates the C++ One Definition Rule [-Wodr] 12 | int kissat_analyze (struct kissat *, struct clause *); | ^ src/sat/kissat/analyze.c:529:5: note: type mismatch in parameter 2 529 | int kissat_analyze (kissat *solver, clause *conflict) { | ^ src/sat/kissat/analyze.c:529:5: note: 'kissat_analyze' was previously declared here kernel/register.cc: In function '__ct_base .constprop': kernel/register.cc:782:9: note: variable tracking size limit exceeded with '-fvar-tracking-assignments', retrying without 782 | CellHelpMessages() { | ^ src/proof/pdr/pdrInv.c: In function 'Pdr_InvPrintStr': src/proof/pdr/pdrInv.c:712:22: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 712 | char * pBuffer = ABC_ALLOC( char, (size_t)(unsigned)nVars ); | ^ /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^ src/proof/pdr/pdrInv.c:712:22: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 712 | char * pBuffer = ABC_ALLOC( char, (size_t)(unsigned)nVars ); | ^ /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^ src/misc/extra/extraUtilCube.c: In function 'Abc_EnumerateCubeStates': src/misc/extra/extraUtilCube.c:200:27: warning: product '536870912 * 8' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] 200 | word State, * pHash = ABC_CALLOC( word, 1 << 29 ); // 4 GB | ^ /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^ In function 'Abc_ZddManAlloc', inlined from 'Abc_EnumerateCubeStatesZdd' at src/misc/extra/extraUtilPerm.c:861:24: src/misc/extra/extraUtilPerm.c:194:22: warning: product '134217728 * 16' of arguments 1 and 2 exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 194 | p->pCache = ABC_CALLOC( Abc_ZddEnt, p->nCacheMask + 1 ); | ^ /usr/include/stdlib.h: In function 'Abc_EnumerateCubeStatesZdd': /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^ In function 'Gia_ManCreateMuxRefs', inlined from 'Cof_ManCreateLogicSimple' at src/aig/gia/giaCof.c:181:36, inlined from 'Gia_ManPrintFanio' at src/aig/gia/giaCof.c:750:33, inlined from 'Abc_CommandAbc9PFan' at src/base/abci/abc.c:33947:22: src/aig/gia/giaUtil.c:832:16: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 832 | pMuxRefs = ABC_CALLOC( int, Gia_ManObjNum(p) ); | ^ /usr/include/stdlib.h: In function 'Abc_CommandAbc9PFan': /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^ In function 'Gia_ManTransferEquivs2', inlined from 'Abc_CommandAbc9Scorr' at src/base/abci/abc.c:39478:35: src/aig/gia/giaEquiv.c:2794:20: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 2794 | pOld->pReprs = ABC_CALLOC( Gia_Rpr_t, Gia_ManObjNum(pOld) ); | ^ /usr/include/stdlib.h: In function 'Abc_CommandAbc9Scorr': /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^ make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/abc' cp abc/abc yosys-abc Build successful. make[2]: Leaving directory '/build/reproducible-path/yosys-0.51' make[1]: Leaving directory '/build/reproducible-path/yosys-0.51' rm -f debian/yosys-abc.debhelper.log debian/yosys-dev.debhelper.log debian/yosys.debhelper.log debian/rules override_dh_auto_build-indep make[1]: Entering directory '/build/reproducible-path/yosys-0.51' dh_auto_build -- docs DOC_TARGET=latexpdf make -j22 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf make[2]: Entering directory '/build/reproducible-path/yosys-0.51' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: 'abc' comes from a tarball. Continuing. mkdir -p docs/source/generated mkdir -p docs/source/generated/functional mkdir -p docs/source/generated/functional mkdir -p docs/source/cmd ./yosys -p 'help -dump-cells-json docs/source/generated/cells.json' cp backends/functional/smtlib.cc docs/source/generated/functional/smtlib.cc diff -U 20 backends/functional/smtlib.cc backends/functional/smtlib_rosette.cc > docs/source/generated/functional/rosette.diff || exit 0 make -C docs gen mkdir -p temp/docs/source/cmd PYTHONPATH=./share/python3 ./yosys --help > docs/source/generated/yosys || rm docs/source/generated/yosys PYTHONPATH=./share/python3 ./yosys-smtbmc --help > docs/source/generated/yosys-smtbmc || rm docs/source/generated/yosys-smtbmc PYTHONPATH=./share/python3 ./yosys-witness --help > docs/source/generated/yosys-witness || rm docs/source/generated/yosys-witness ./yosys-config --help 2> docs/source/generated/yosys-config cd temp && ./../yosys -p 'help -write-rst-command-reference-manual' >/dev/null ./yosys-filterlib --help 2> docs/source/generated/yosys-filterlib ./yosys-abc --help 2> docs/source/generated/yosys-abc make[2]: [Makefile:1058: docs/source/generated/yosys-config] Error 1 (ignored) make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs' make examples make[4]: Entering directory '/build/reproducible-path/yosys-0.51/docs' make -C source/code_examples/extensions examples make -C source/code_examples/fifo examples make -C source/code_examples/intro examples make -C source/code_examples/macc examples make[2]: [Makefile:1058: docs/source/generated/yosys-filterlib] Error 1 (ignored) make -C source/code_examples/opt examples make -C source/code_examples/scrambler examples make -C source/code_examples/selections examples make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' make -C source/code_examples/show examples make -C source/code_examples/stubnets examples make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' ../../../../yosys fifo.ys -l fifo.out -Q -T make -C source/code_examples/synth_flow examples make -C source/code_examples/techmap examples ../../../../yosys fifo_map.ys make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' make[5]: Nothing to be done for 'examples'. ../../../../yosys sumprod.ys make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' ../../../../yosys example_lscd.ys -l example.out -Q -T make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' make[5]: Nothing to be done for 'examples'. make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' make[5]: Nothing to be done for 'examples'. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' ../../../../yosys-config --exec --cxx -g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I../../../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -DYOSYS_VER= --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs -- Executing script file `fifo.ys' -- $ yosys fifo.v -- Parsing `fifo.v' using frontend ` -vlog2k' -- 1. Executing Verilog-2005 frontend: fifo.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `fifo_map.ys' -- 1. Executing Verilog-2005 frontend: fifo.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `sumprod.ys' -- 1. Executing Verilog-2005 frontend: sumprod.v Parsing Verilog input from `fifo.v' to AST representation. Storing AST representation for module `$abstract\addr_gen'. Storing AST representation for module `$abstract\fifo'. Successfully finished Verilog frontend. echo on yosys> hierarchy -top addr_gen 2. Executing HIERARCHY pass (managing design hierarchy). 3. Executing AST frontend in derive mode using pre-parsed AST for module `\addr_gen'. Parsing Verilog input from `sumprod.v' to AST representation. Generating RTLIL representation for module `\sumprod'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. Top module: \sumprod 2.1.2. Analyzing design hierarchy.. Top module: \sumprod Removed 0 unused modules. 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -- Executing script file `example_lscd.ys' -- 1. Executing Verilog-2005 frontend: example.v Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). Generating RTLIL representation for module `\addr_gen'. 3.1. Analyzing design hierarchy.. 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Top module: \addr_gen 3.2. Analyzing design hierarchy.. Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Top module: \addr_gen Removing unused module `$abstract\fifo'. Removing unused module `$abstract\addr_gen'. Removed 2 unused modules. yosys> select -module addr_gen yosys [addr_gen]> select -list addr_gen addr_gen/$1\addr[7:0] addr_gen/$add$fifo.v:19$3_Y addr_gen/$eq$fifo.v:16$2_Y addr_gen/$0\addr[7:0] addr_gen/addr addr_gen/rst addr_gen/clk addr_gen/en addr_gen/$add$fifo.v:19$3 addr_gen/$eq$fifo.v:16$2 addr_gen/$proc$fifo.v:0$4 addr_gen/$proc$fifo.v:12$1 yosys [addr_gen]> select t:* yosys [addr_gen]*> select -list addr_gen/$add$fifo.v:19$3 addr_gen/$eq$fifo.v:16$2 yosys [addr_gen]*> select -set new_cells % yosys [addr_gen]*> select -clear yosys> show -format dot -prefix addr_gen_show addr_gen 4. Generating Graphviz representation of design. 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `example.v' to AST representation. Generating RTLIL representation for module `\example'. Successfully finished Verilog frontend. echo on yosys> ls 1 modules: example yosys> cd example yosys [example]> ls 8 wires: $0\y[1:0] $add$example.v:5$2_Y $ternary$example.v:5$3_Y a b c clk y 2 cells: $add$example.v:5$2 $ternary$example.v:5$3 1 processes: $proc$example.v:3$1 yosys [example]> dump $2 attribute \src "example.v:5.22-5.27" cell $add $add$example.v:5$2 parameter \Y_WIDTH 2 parameter \B_WIDTH 1 parameter \A_WIDTH 1 parameter \B_SIGNED 0 parameter \A_SIGNED 0 connect \Y $add$example.v:5$2_Y connect \B \b connect \A \a end yosys [example]> cd .. yosys> echo off echo off Writing dot description to `addr_gen_show.dot'. Dumping module addr_gen to page 1. Parsing Verilog input from `fifo.v' to AST representation. Generating RTLIL representation for module `\addr_gen'. Generating RTLIL representation for module `\fifo'. Successfully finished Verilog frontend. echo on yosys> tee -o fifo.stat stat yosys> stat 2. Printing statistics. yosys> show -format dot -prefix new_cells_show -notitle @new_cells 5. Generating Graphviz representation of design. === fifo === Number of wires: 28 Number of wire bits: 219 Number of public wires: 9 Number of public wire bits: 45 Number of ports: 7 Number of port bits: 29 Number of memories: 1 Number of memory bits: 2048 Number of processes: 3 Number of cells: 9 $add 1 $logic_and 2 $logic_not 2 $memrd 1 $sub 1 addr_gen 2 === addr_gen === Number of wires: 8 Number of wire bits: 60 Number of public wires: 4 Number of public wire bits: 11 Number of ports: 4 Number of port bits: 11 Number of memories: 0 Number of memory bits: 0 Number of processes: 2 Number of cells: 2 $add 1 $eq 1 yosys> echo off echo off 3. Executing SYNTH_ICE40 pass. Optimizing module sumprod. 3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v 2.3. Executing FUTURE pass. Writing dot description to `new_cells_show.dot'. Dumping selected parts of module addr_gen to page 1. make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' yosys> show -color maroon3 @new_cells -color cornflowerblue p:* -notitle -format dot -prefix addr_gen_hier 6. Generating Graphviz representation of design. 2.4. Executing OPT_EXPR pass (perform const folding). Writing dot description to `addr_gen_hier.dot'. Dumping module addr_gen to page 1. yosys> proc -noopt 7. Executing PROC pass (convert processes to netlists). yosys> proc_clean 7.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. yosys> proc_rmdead 7.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 2 switch rules as full_case in process $proc$fifo.v:12$1 in module addr_gen. Removed a total of 0 dead cases. yosys> proc_prune 7.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 1 assignment to connection. yosys> proc_init 7.4. Executing PROC_INIT pass (extract init attributes). Found init rule in `\addr_gen.$proc$fifo.v:0$4'. Set init value: \addr = 8'00000000 yosys> proc_arst 7.5. Executing PROC_ARST pass (detect async resets in processes). Found async reset \rst in `\addr_gen.$proc$fifo.v:12$1'. yosys> proc_rom 7.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. yosys> proc_mux 7.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\addr_gen.$proc$fifo.v:0$4'. Creating decoders for process `\addr_gen.$proc$fifo.v:12$1'. 1/1: $0\addr[7:0] yosys> proc_dlatch 7.8. Executing PROC_DLATCH pass (convert process syncs to latches). Optimizing module sumprod. 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). yosys> proc_dff 7.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\addr_gen.\addr' using process `\addr_gen.$proc$fifo.v:12$1'. created $adff cell `$procdff$12' with positive edge clock and positive level reset. yosys> proc_memwr 7.10. Executing PROC_MEMWR pass (convert process memory writes to cells). yosys> proc_clean 7.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `addr_gen.$proc$fifo.v:0$4'. Found and cleaned up 2 empty switches in `\addr_gen.$proc$fifo.v:12$1'. Removing empty process `addr_gen.$proc$fifo.v:12$1'. Cleaned up 2 empty switches. yosys> select -set new_cells t:$mux t:*dff yosys> show -color maroon3 @new_cells -notitle -format dot -prefix addr_gen_proc 8. Generating Graphviz representation of design. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Running command `help -dump-cells-json docs/source/generated/cells.json' -- End of script. Logfile hash: 435b0c1a21, CPU: user 0.01s system 0.00s, MEM: 11.39 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 100% 1x help (0 sec) Finding unused cells or wires in module \sumprod.. Removed 0 unused cells and 2 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). Writing dot description to `addr_gen_proc.dot'. Dumping module addr_gen to page 1. yosys> opt_expr 9. Executing OPT_EXPR pass (perform const folding). Checking module sumprod... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module sumprod. 2.7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\sumprod'. Removed a total of 0 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \sumprod.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing module addr_gen. Optimizing cells in module \sumprod. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\sumprod'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \sumprod.. yosys> clean Removed 0 unused cells and 5 unused wires. yosys> select -set new_cells t:$eq yosys> show -color cornflowerblue @new_cells -notitle -format dot -prefix addr_gen_clean 10. Generating Graphviz representation of design. 2.7.7. Executing OPT_EXPR pass (perform const folding). Writing dot description to `addr_gen_clean.dot'. Dumping module addr_gen to page 1. Optimizing module sumprod. yosys> design -reset yosys> read_verilog fifo.v 11. Executing Verilog-2005 frontend: fifo.v 2.7.8. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \sumprod.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `fifo.v' to AST representation. Generating RTLIL representation for module `\addr_gen'. Generating RTLIL representation for module `\fifo'. Successfully finished Verilog frontend. yosys> hierarchy -check -top fifo 12. Executing HIERARCHY pass (managing design hierarchy). 12.1. Analyzing design hierarchy.. Top module: \fifo Used module: \addr_gen Parameter \MAX_DATA = 256 12.2. Executing AST frontend in derive mode using pre-parsed AST for module `\addr_gen'. Optimizing module sumprod. 2.11.2. Executing OPT_MERGE pass (detect identical cells). Parameter \MAX_DATA = 256 Generating RTLIL representation for module `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000'. Parameter \MAX_DATA = 256 Found cached RTLIL representation for module `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000'. 12.3. Analyzing design hierarchy.. Top module: \fifo Used module: $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000 12.4. Analyzing design hierarchy.. Top module: \fifo Used module: $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000 Removing unused module `\addr_gen'. Removed 1 unused modules. yosys> proc 13. Executing PROC pass (convert processes to netlists). yosys> proc_clean 13.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. yosys> proc_rmdead 13.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 2 switch rules as full_case in process $proc$fifo.v:62$26 in module fifo. Marked 1 switch rules as full_case in process $proc$fifo.v:36$18 in module fifo. Marked 2 switch rules as full_case in process $proc$fifo.v:12$34 in module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. Removed a total of 0 dead cases. yosys> proc_prune 13.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Finding identical cells in module `\sumprod'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Removed 0 redundant assignments. Promoted 6 assignments to connections. yosys> proc_init 13.4. Executing PROC_INIT pass (extract init attributes). Found init rule in `\fifo.$proc$fifo.v:0$33'. Set init value: \count = 9'000000000 Found init rule in `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:0$37'. Set init value: \addr = 8'00000000 yosys> proc_arst 13.5. Executing PROC_ARST pass (detect async resets in processes). Found async reset \rst in `\fifo.$proc$fifo.v:62$26'. Found async reset \rst in `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$34'. yosys> proc_rom 13.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. yosys> proc_mux 13.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\fifo.$proc$fifo.v:0$33'. Creating decoders for process `\fifo.$proc$fifo.v:62$26'. 1/1: $0\count[8:0] Creating decoders for process `\fifo.$proc$fifo.v:36$18'. 1/3: $1$memwr$\data$fifo.v:38$17_EN[7:0]$24 2/3: $1$memwr$\data$fifo.v:38$17_DATA[7:0]$23 3/3: $1$memwr$\data$fifo.v:38$17_ADDR[7:0]$22 Creating decoders for process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:0$37'. Creating decoders for process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$34'. 1/1: $0\addr[7:0] yosys> proc_dlatch 13.8. Executing PROC_DLATCH pass (convert process syncs to latches). Finding unused cells or wires in module \sumprod.. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. === sumprod === Number of wires: 7 Number of wire bits: 56 Number of public wires: 5 Number of public wire bits: 40 Number of ports: 5 Number of port bits: 40 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 4 $add 2 $mul 2 2.13. Executing CHECK pass (checking for obvious problems). yosys> proc_dff 13.9. Executing PROC_DFF pass (convert process syncs to FFs). Checking module sumprod... Found and reported 0 problems. 3. Generating Graphviz representation of design. Creating register for signal `\fifo.\count' using process `\fifo.$proc$fifo.v:62$26'. created $adff cell `$procdff$59' with positive edge clock and positive level reset. Creating register for signal `\fifo.\rdata' using process `\fifo.$proc$fifo.v:36$18'. created $dff cell `$procdff$60' with positive edge clock. Creating register for signal `\fifo.$memwr$\data$fifo.v:38$17_ADDR' using process `\fifo.$proc$fifo.v:36$18'. created $dff cell `$procdff$61' with positive edge clock. Creating register for signal `\fifo.$memwr$\data$fifo.v:38$17_DATA' using process `\fifo.$proc$fifo.v:36$18'. created $dff cell `$procdff$62' with positive edge clock. Creating register for signal `\fifo.$memwr$\data$fifo.v:38$17_EN' using process `\fifo.$proc$fifo.v:36$18'. created $dff cell `$procdff$63' with positive edge clock. Creating register for signal `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.\addr' using process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$34'. created $adff cell `$procdff$66' with positive edge clock and positive level reset. yosys> proc_memwr 13.10. Executing PROC_MEMWR pass (convert process memory writes to cells). yosys> proc_clean 13.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `fifo.$proc$fifo.v:0$33'. Found and cleaned up 2 empty switches in `\fifo.$proc$fifo.v:62$26'. Removing empty process `fifo.$proc$fifo.v:62$26'. Found and cleaned up 1 empty switch in `\fifo.$proc$fifo.v:36$18'. Removing empty process `fifo.$proc$fifo.v:36$18'. Removing empty process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:0$37'. Found and cleaned up 2 empty switches in `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$34'. Removing empty process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$34'. Cleaned up 5 empty switches. yosys> opt_expr -keepdc 13.12. Executing OPT_EXPR pass (perform const folding). Writing dot description to `sumprod_00.dot'. Dumping selected parts of module sumprod to page 1. 4. Generating Graphviz representation of design. Writing dot description to `sumprod_01.dot'. Dumping selected parts of module sumprod to page 1. 5. Generating Graphviz representation of design. Writing dot description to `sumprod_02.dot'. Dumping selected parts of module sumprod to page 1. 6. Generating Graphviz representation of design. Writing dot description to `sumprod_03.dot'. Dumping selected parts of module sumprod to page 1. Optimizing module fifo. Optimizing module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. 7. Generating Graphviz representation of design. yosys> select -set new_cells t:$memrd yosys> show -color maroon3 c:fifo_reader -color cornflowerblue @new_cells -notitle -format dot -prefix rdata_proc o:rdata %ci* 14. Generating Graphviz representation of design. Writing dot description to `sumprod_04.dot'. Dumping selected parts of module sumprod to page 1. 8. Generating Graphviz representation of design. Writing dot description to `rdata_proc.dot'. Dumping selected parts of module fifo to page 1. yosys> flatten 15. Executing FLATTEN pass (flatten design). Deleting now unused module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. Writing dot description to `sumprod_05.dot'. Dumping selected parts of module sumprod to page 1. End of script. Logfile hash: ad287e9838, CPU: user 0.02s system 0.00s, MEM: 10.34 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 27% 6x show (0 sec), 24% 5x opt_expr (0 sec), ... UC Berkeley, ABC 1.01 (compiled Mar 17 2025 23:00:57) make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' make[2]: [Makefile:1058: docs/source/generated/yosys-abc] Error 1 (ignored) yosys> clean Removed 3 unused cells and 28 unused wires. yosys> select -set rdata_path o:rdata %ci* yosys> select -set new_cells @rdata_path o:rdata %ci3 %d i:* %d yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_flat @rdata_path 16. Generating Graphviz representation of design. Writing dot description to `rdata_flat.dot'. Dumping selected parts of module fifo to page 1. yosys> opt_dff 17. Executing OPT_DFF pass (perform DFF optimizations). cp -ru temp/docs/source/cmd docs/source Adding EN signal on $procdff$59 ($adff) from module fifo (D = $0\count[8:0], Q = \count). Adding EN signal on $flatten\fifo_writer.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_writer.$procmux$53_Y, Q = \fifo_writer.addr). Adding EN signal on $flatten\fifo_reader.$procdff$66 ($adff) from module fifo (D = $flatten\fifo_reader.$procmux$53_Y, Q = \fifo_reader.addr). yosys> select -set new_cells t:$adffe yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_adffe o:rdata %ci* 18. Generating Graphviz representation of design. Writing dot description to `rdata_adffe.dot'. Dumping selected parts of module fifo to page 1. yosys> wreduce 19. Executing WREDUCE pass (reducing word size of cells). Removed top 31 bits (of 32) from port B of cell fifo.$add$fifo.v:66$29 ($add). Removed top 23 bits (of 32) from port Y of cell fifo.$add$fifo.v:66$29 ($add). Removed top 31 bits (of 32) from port B of cell fifo.$sub$fifo.v:68$32 ($sub). Removed top 23 bits (of 32) from port Y of cell fifo.$sub$fifo.v:68$32 ($sub). Removed top 1 bits (of 2) from port B of cell fifo.$auto$opt_dff.cc:195:make_patterns_logic$72 ($ne). Removed cell fifo.$flatten\fifo_writer.$procmux$55 ($mux). Removed top 31 bits (of 32) from port B of cell fifo.$flatten\fifo_writer.$add$fifo.v:19$36 ($add). Removed top 24 bits (of 32) from port Y of cell fifo.$flatten\fifo_writer.$add$fifo.v:19$36 ($add). Removed cell fifo.$flatten\fifo_reader.$procmux$55 ($mux). Removed top 31 bits (of 32) from port B of cell fifo.$flatten\fifo_reader.$add$fifo.v:19$36 ($add). Removed top 24 bits (of 32) from port Y of cell fifo.$flatten\fifo_reader.$add$fifo.v:19$36 ($add). Removed top 23 bits (of 32) from wire fifo.$add$fifo.v:66$29_Y. Removed top 24 bits (of 32) from wire fifo.$flatten\fifo_reader.$add$fifo.v:19$36_Y. Removed top 24 bits (of 32) from wire fifo.$flatten\fifo_writer.$add$fifo.v:19$36_Y. yosys> show -notitle -format dot -prefix rdata_wreduce o:rdata %ci* 20. Generating Graphviz representation of design. Writing dot description to `rdata_wreduce.dot'. Dumping selected parts of module fifo to page 1. yosys> opt_clean 21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. Removed 0 unused cells and 5 unused wires. yosys> memory_dff 22. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Checking read port `\data'[0] in module `\fifo': merging output FF to cell. Write port 0: non-transparent. yosys> select -set new_cells t:$memrd_v2 yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_memrdv2 o:rdata %ci* 23. Generating Graphviz representation of design. Writing dot description to `rdata_memrdv2.dot'. Dumping selected parts of module fifo to page 1. yosys> alumacc 24. Executing ALUMACC pass (create $alu and $macc cells). Extracting $alu and $macc cells in module fifo: creating $macc model for $add$fifo.v:66$29 ($add). creating $macc model for $flatten\fifo_reader.$add$fifo.v:19$36 ($add). creating $macc model for $flatten\fifo_writer.$add$fifo.v:19$36 ($add). creating $macc model for $sub$fifo.v:68$32 ($sub). creating $alu model for $macc $sub$fifo.v:68$32. creating $alu model for $macc $flatten\fifo_writer.$add$fifo.v:19$36. creating $alu model for $macc $flatten\fifo_reader.$add$fifo.v:19$36. creating $alu model for $macc $add$fifo.v:66$29. creating $alu cell for $add$fifo.v:66$29: $auto$alumacc.cc:495:replace_alu$87 creating $alu cell for $flatten\fifo_reader.$add$fifo.v:19$36: $auto$alumacc.cc:495:replace_alu$90 creating $alu cell for $flatten\fifo_writer.$add$fifo.v:19$36: $auto$alumacc.cc:495:replace_alu$93 creating $alu cell for $sub$fifo.v:68$32: $auto$alumacc.cc:495:replace_alu$96 created 4 $alu and 0 $macc cells. yosys> select -set new_cells t:$alu t:$macc yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_alumacc o:rdata %ci* 25. Generating Graphviz representation of design. Writing dot description to `rdata_alumacc.dot'. Dumping selected parts of module fifo to page 1. yosys> memory_collect 26. Executing MEMORY_COLLECT pass (generating $mem cells). rm -rf temp yosys> select -set new_cells t:$mem_v2 yosys> select -set rdata_path @new_cells %ci*:-$mem_v2[WR_DATA,WR_ADDR,WR_EN] @new_cells %co* %% yosys> show -color maroon3 @new_cells -notitle -format dot -prefix rdata_coarse @rdata_path 27. Generating Graphviz representation of design. Writing dot description to `rdata_coarse.dot'. Dumping selected parts of module fifo to page 1. Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v' to AST representation. Generating RTLIL representation for module `\SB_IO'. Generating RTLIL representation for module `\SB_GB_IO'. Generating RTLIL representation for module `\SB_GB'. Generating RTLIL representation for module `\SB_LUT4'. Generating RTLIL representation for module `\SB_CARRY'. Generating RTLIL representation for module `\SB_DFF'. Generating RTLIL representation for module `\SB_DFFE'. Generating RTLIL representation for module `\SB_DFFSR'. Generating RTLIL representation for module `\SB_DFFR'. Generating RTLIL representation for module `\SB_DFFSS'. Generating RTLIL representation for module `\SB_DFFS'. Generating RTLIL representation for module `\SB_DFFESR'. Generating RTLIL representation for module `\SB_DFFER'. Generating RTLIL representation for module `\SB_DFFESS'. Generating RTLIL representation for module `\SB_DFFES'. Generating RTLIL representation for module `\SB_DFFN'. Generating RTLIL representation for module `\SB_DFFNE'. Generating RTLIL representation for module `\SB_DFFNSR'. Generating RTLIL representation for module `\SB_DFFNR'. Generating RTLIL representation for module `\SB_DFFNSS'. Generating RTLIL representation for module `\SB_DFFNS'. Generating RTLIL representation for module `\SB_DFFNESR'. Generating RTLIL representation for module `\SB_DFFNER'. Generating RTLIL representation for module `\SB_DFFNESS'. Generating RTLIL representation for module `\SB_DFFNES'. Generating RTLIL representation for module `\SB_RAM40_4K'. Generating RTLIL representation for module `\SB_RAM40_4KNR'. Generating RTLIL representation for module `\SB_RAM40_4KNW'. Generating RTLIL representation for module `\SB_RAM40_4KNRNW'. Generating RTLIL representation for module `\ICESTORM_LC'. Generating RTLIL representation for module `\SB_PLL40_CORE'. Generating RTLIL representation for module `\SB_PLL40_PAD'. Generating RTLIL representation for module `\SB_PLL40_2_PAD'. Generating RTLIL representation for module `\SB_PLL40_2F_CORE'. Generating RTLIL representation for module `\SB_PLL40_2F_PAD'. Generating RTLIL representation for module `\SB_WARMBOOT'. Generating RTLIL representation for module `\SB_SPRAM256KA'. Generating RTLIL representation for module `\SB_HFOSC'. Generating RTLIL representation for module `\SB_LFOSC'. Generating RTLIL representation for module `\SB_RGBA_DRV'. Generating RTLIL representation for module `\SB_LED_DRV_CUR'. Generating RTLIL representation for module `\SB_RGB_DRV'. Generating RTLIL representation for module `\SB_I2C'. Generating RTLIL representation for module `\SB_SPI'. Generating RTLIL representation for module `\SB_LEDDA_IP'. Generating RTLIL representation for module `\SB_FILTER_50NS'. Generating RTLIL representation for module `\SB_IO_I3C'. Generating RTLIL representation for module `\SB_IO_OD'. Generating RTLIL representation for module `\SB_MAC16'. Generating RTLIL representation for module `\ICESTORM_RAM'. Successfully finished Verilog frontend. 3.2. Executing HIERARCHY pass (managing design hierarchy). 3.2.1. Analyzing design hierarchy.. Top module: \fifo Used module: \addr_gen Parameter \MAX_DATA = 256 3.2.2. Executing AST frontend in derive mode using pre-parsed AST for module `\addr_gen'. Parameter \MAX_DATA = 256 Generating RTLIL representation for module `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000'. Parameter \MAX_DATA = 256 Found cached RTLIL representation for module `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000'. 3.2.3. Analyzing design hierarchy.. Top module: \fifo Used module: $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000 3.2.4. Analyzing design hierarchy.. Top module: \fifo Used module: $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000 Removing unused module `\addr_gen'. Removed 1 unused modules. 3.3. Executing PROC pass (convert processes to netlists). 3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1414$262 in module SB_DFFNES. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1353$255 in module SB_DFFNESS. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1273$251 in module SB_DFFNER. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1212$244 in module SB_DFFNESR. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1138$241 in module SB_DFFNS. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1088$238 in module SB_DFFNSS. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1017$235 in module SB_DFFNR. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:967$232 in module SB_DFFNSR. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:803$224 in module SB_DFFES. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:742$217 in module SB_DFFESS. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:662$213 in module SB_DFFER. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:601$206 in module SB_DFFESR. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:527$203 in module SB_DFFS. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:477$200 in module SB_DFFSS. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:406$197 in module SB_DFFR. Marked 1 switch rules as full_case in process $proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:356$194 in module SB_DFFSR. Marked 2 switch rules as full_case in process $proc$fifo.v:62$14 in module fifo. Marked 1 switch rules as full_case in process $proc$fifo.v:36$6 in module fifo. Marked 2 switch rules as full_case in process $proc$fifo.v:12$402 in module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. Removed a total of 0 dead cases. 3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 8 redundant assignments. Promoted 28 assignments to connections. 3.3.4. Executing PROC_INIT pass (extract init attributes). Found init rule in `\SB_DFFNES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$265'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFNESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$261'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFNER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$254'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFNESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$250'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFNS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$243'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFNSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$240'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFNR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$237'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFNSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$234'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFNE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$231'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFN.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$229'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$227'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$223'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$216'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$212'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$205'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$202'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$199'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$196'. Set init value: \Q = 1'0 Found init rule in `\SB_DFFE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$193'. Set init value: \Q = 1'0 Found init rule in `\SB_DFF.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$191'. Set init value: \Q = 1'0 Found init rule in `\fifo.$proc$fifo.v:0$21'. Set init value: \count = 9'000000000 Found init rule in `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:0$405'. Set init value: \addr = 8'00000000 3.3.5. Executing PROC_ARST pass (detect async resets in processes). Found async reset \S in `\SB_DFFNES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1414$262'. Found async reset \R in `\SB_DFFNER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1273$251'. Found async reset \S in `\SB_DFFNS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1138$241'. Found async reset \R in `\SB_DFFNR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1017$235'. Found async reset \S in `\SB_DFFES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:803$224'. Found async reset \R in `\SB_DFFER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:662$213'. Found async reset \S in `\SB_DFFS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:527$203'. Found async reset \R in `\SB_DFFR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:406$197'. Found async reset \rst in `\fifo.$proc$fifo.v:62$14'. Found async reset \rst in `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$402'. 3.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\SB_DFFNES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$265'. Creating decoders for process `\SB_DFFNES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1414$262'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFNESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$261'. Creating decoders for process `\SB_DFFNESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1353$255'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFNER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$254'. Creating decoders for process `\SB_DFFNER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1273$251'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFNESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$250'. Creating decoders for process `\SB_DFFNESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1212$244'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFNS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$243'. Creating decoders for process `\SB_DFFNS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1138$241'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFNSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$240'. Creating decoders for process `\SB_DFFNSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1088$238'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFNR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$237'. Creating decoders for process `\SB_DFFNR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1017$235'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFNSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$234'. Creating decoders for process `\SB_DFFNSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:967$232'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFNE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$231'. Creating decoders for process `\SB_DFFNE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:922$230'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFN.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$229'. Creating decoders for process `\SB_DFFN.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:882$228'. Creating decoders for process `\SB_DFFES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$227'. Creating decoders for process `\SB_DFFES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:803$224'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$223'. Creating decoders for process `\SB_DFFESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:742$217'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$216'. Creating decoders for process `\SB_DFFER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:662$213'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$212'. Creating decoders for process `\SB_DFFESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:601$206'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$205'. Creating decoders for process `\SB_DFFS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:527$203'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$202'. Creating decoders for process `\SB_DFFSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:477$200'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$199'. Creating decoders for process `\SB_DFFR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:406$197'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$196'. Creating decoders for process `\SB_DFFSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:356$194'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFFE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$193'. Creating decoders for process `\SB_DFFE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:311$192'. 1/1: $0\Q[0:0] Creating decoders for process `\SB_DFF.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$191'. Creating decoders for process `\SB_DFF.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:271$190'. Creating decoders for process `\fifo.$proc$fifo.v:0$21'. Creating decoders for process `\fifo.$proc$fifo.v:62$14'. 1/1: $0\count[8:0] Creating decoders for process `\fifo.$proc$fifo.v:36$6'. 1/3: $1$memwr$\data$fifo.v:38$5_EN[7:0]$12 2/3: $1$memwr$\data$fifo.v:38$5_DATA[7:0]$11 3/3: $1$memwr$\data$fifo.v:38$5_ADDR[7:0]$10 Creating decoders for process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:0$405'. Creating decoders for process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$402'. 1/1: $0\addr[7:0] 3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\SB_DFFNES.\Q' using process `\SB_DFFNES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1414$262'. created $adff cell `$procdff$471' with negative edge clock and positive level reset. Creating register for signal `\SB_DFFNESS.\Q' using process `\SB_DFFNESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1353$255'. created $dff cell `$procdff$472' with negative edge clock. Creating register for signal `\SB_DFFNER.\Q' using process `\SB_DFFNER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1273$251'. created $adff cell `$procdff$475' with negative edge clock and positive level reset. Creating register for signal `\SB_DFFNESR.\Q' using process `\SB_DFFNESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1212$244'. created $dff cell `$procdff$476' with negative edge clock. Creating register for signal `\SB_DFFNS.\Q' using process `\SB_DFFNS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1138$241'. created $adff cell `$procdff$479' with negative edge clock and positive level reset. Creating register for signal `\SB_DFFNSS.\Q' using process `\SB_DFFNSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1088$238'. created $dff cell `$procdff$480' with negative edge clock. Creating register for signal `\SB_DFFNR.\Q' using process `\SB_DFFNR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1017$235'. created $adff cell `$procdff$483' with negative edge clock and positive level reset. Creating register for signal `\SB_DFFNSR.\Q' using process `\SB_DFFNSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:967$232'. created $dff cell `$procdff$484' with negative edge clock. Creating register for signal `\SB_DFFNE.\Q' using process `\SB_DFFNE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:922$230'. created $dff cell `$procdff$485' with negative edge clock. Creating register for signal `\SB_DFFN.\Q' using process `\SB_DFFN.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:882$228'. created $dff cell `$procdff$486' with negative edge clock. Creating register for signal `\SB_DFFES.\Q' using process `\SB_DFFES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:803$224'. created $adff cell `$procdff$489' with positive edge clock and positive level reset. Creating register for signal `\SB_DFFESS.\Q' using process `\SB_DFFESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:742$217'. created $dff cell `$procdff$490' with positive edge clock. Creating register for signal `\SB_DFFER.\Q' using process `\SB_DFFER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:662$213'. created $adff cell `$procdff$493' with positive edge clock and positive level reset. Creating register for signal `\SB_DFFESR.\Q' using process `\SB_DFFESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:601$206'. created $dff cell `$procdff$494' with positive edge clock. Creating register for signal `\SB_DFFS.\Q' using process `\SB_DFFS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:527$203'. created $adff cell `$procdff$497' with positive edge clock and positive level reset. Creating register for signal `\SB_DFFSS.\Q' using process `\SB_DFFSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:477$200'. created $dff cell `$procdff$498' with positive edge clock. Creating register for signal `\SB_DFFR.\Q' using process `\SB_DFFR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:406$197'. created $adff cell `$procdff$501' with positive edge clock and positive level reset. Creating register for signal `\SB_DFFSR.\Q' using process `\SB_DFFSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:356$194'. created $dff cell `$procdff$502' with positive edge clock. Creating register for signal `\SB_DFFE.\Q' using process `\SB_DFFE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:311$192'. created $dff cell `$procdff$503' with positive edge clock. Creating register for signal `\SB_DFF.\Q' using process `\SB_DFF.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:271$190'. created $dff cell `$procdff$504' with positive edge clock. Creating register for signal `\fifo.\count' using process `\fifo.$proc$fifo.v:62$14'. created $adff cell `$procdff$507' with positive edge clock and positive level reset. Creating register for signal `\fifo.\rdata' using process `\fifo.$proc$fifo.v:36$6'. created $dff cell `$procdff$508' with positive edge clock. Creating register for signal `\fifo.$memwr$\data$fifo.v:38$5_ADDR' using process `\fifo.$proc$fifo.v:36$6'. created $dff cell `$procdff$509' with positive edge clock. Creating register for signal `\fifo.$memwr$\data$fifo.v:38$5_DATA' using process `\fifo.$proc$fifo.v:36$6'. created $dff cell `$procdff$510' with positive edge clock. Creating register for signal `\fifo.$memwr$\data$fifo.v:38$5_EN' using process `\fifo.$proc$fifo.v:36$6'. created $dff cell `$procdff$511' with positive edge clock. Creating register for signal `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.\addr' using process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$402'. created $adff cell `$procdff$514' with positive edge clock and positive level reset. 3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `SB_DFFNES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$265'. Found and cleaned up 1 empty switch in `\SB_DFFNES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1414$262'. Removing empty process `SB_DFFNES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1414$262'. Removing empty process `SB_DFFNESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$261'. Found and cleaned up 2 empty switches in `\SB_DFFNESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1353$255'. Removing empty process `SB_DFFNESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1353$255'. Removing empty process `SB_DFFNER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$254'. Found and cleaned up 1 empty switch in `\SB_DFFNER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1273$251'. Removing empty process `SB_DFFNER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1273$251'. Removing empty process `SB_DFFNESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$250'. Found and cleaned up 2 empty switches in `\SB_DFFNESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1212$244'. Removing empty process `SB_DFFNESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1212$244'. Removing empty process `SB_DFFNS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$243'. Removing empty process `SB_DFFNS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1138$241'. Removing empty process `SB_DFFNSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$240'. Found and cleaned up 1 empty switch in `\SB_DFFNSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1088$238'. Removing empty process `SB_DFFNSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1088$238'. Removing empty process `SB_DFFNR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$237'. Removing empty process `SB_DFFNR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:1017$235'. Removing empty process `SB_DFFNSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$234'. Found and cleaned up 1 empty switch in `\SB_DFFNSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:967$232'. Removing empty process `SB_DFFNSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:967$232'. Removing empty process `SB_DFFNE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$231'. Found and cleaned up 1 empty switch in `\SB_DFFNE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:922$230'. Removing empty process `SB_DFFNE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:922$230'. Removing empty process `SB_DFFN.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$229'. Removing empty process `SB_DFFN.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:882$228'. Removing empty process `SB_DFFES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$227'. Found and cleaned up 1 empty switch in `\SB_DFFES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:803$224'. Removing empty process `SB_DFFES.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:803$224'. Removing empty process `SB_DFFESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$223'. Found and cleaned up 2 empty switches in `\SB_DFFESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:742$217'. Removing empty process `SB_DFFESS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:742$217'. Removing empty process `SB_DFFER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$216'. Found and cleaned up 1 empty switch in `\SB_DFFER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:662$213'. Removing empty process `SB_DFFER.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:662$213'. Removing empty process `SB_DFFESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$212'. Found and cleaned up 2 empty switches in `\SB_DFFESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:601$206'. Removing empty process `SB_DFFESR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:601$206'. Removing empty process `SB_DFFS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$205'. Removing empty process `SB_DFFS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:527$203'. Removing empty process `SB_DFFSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$202'. Found and cleaned up 1 empty switch in `\SB_DFFSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:477$200'. Removing empty process `SB_DFFSS.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:477$200'. Removing empty process `SB_DFFR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$199'. Removing empty process `SB_DFFR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:406$197'. Removing empty process `SB_DFFSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$196'. Found and cleaned up 1 empty switch in `\SB_DFFSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:356$194'. Removing empty process `SB_DFFSR.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:356$194'. Removing empty process `SB_DFFE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$193'. Found and cleaned up 1 empty switch in `\SB_DFFE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:311$192'. Removing empty process `SB_DFFE.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:311$192'. Removing empty process `SB_DFF.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:0$191'. Removing empty process `SB_DFF.$proc$/build/reproducible-path/yosys-0.51/share/ice40/cells_sim.v:271$190'. Removing empty process `fifo.$proc$fifo.v:0$21'. Found and cleaned up 2 empty switches in `\fifo.$proc$fifo.v:62$14'. Removing empty process `fifo.$proc$fifo.v:62$14'. Found and cleaned up 1 empty switch in `\fifo.$proc$fifo.v:36$6'. Removing empty process `fifo.$proc$fifo.v:36$6'. Removing empty process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:0$405'. Found and cleaned up 2 empty switches in `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$402'. Removing empty process `$paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000.$proc$fifo.v:12$402'. Cleaned up 23 empty switches. 3.3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. Optimizing module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. 3.4. Executing FLATTEN pass (flatten design). Deleting now unused module $paramod\addr_gen\MAX_DATA=s32'00000000000000000000000100000000. 3.5. Executing TRIBUF pass. 3.6. Executing DEMINOUT pass (demote inout ports to input or output). 3.7. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.8. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. Removed 3 unused cells and 28 unused wires. 3.9. Executing CHECK pass (checking for obvious problems). Checking module fifo... Found and reported 0 problems. 3.10. Executing OPT pass (performing simple optimizations). 3.10.1. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.10.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 3.10.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \fifo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 3.10.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \fifo. Consolidated identical input bits for $mux cell $procmux$456: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\data$fifo.v:38$5_EN[7:0]$9 New ports: A=1'0, B=1'1, Y=$0$memwr$\data$fifo.v:38$5_EN[7:0]$9 [0] New connections: $0$memwr$\data$fifo.v:38$5_EN[7:0]$9 [7:1] = { $0$memwr$\data$fifo.v:38$5_EN[7:0]$9 [0] $0$memwr$\data$fifo.v:38$5_EN[7:0]$9 [0] $0$memwr$\data$fifo.v:38$5_EN[7:0]$9 [0] $0$memwr$\data$fifo.v:38$5_EN[7:0]$9 [0] $0$memwr$\data$fifo.v:38$5_EN[7:0]$9 [0] $0$memwr$\data$fifo.v:38$5_EN[7:0]$9 [0] $0$memwr$\data$fifo.v:38$5_EN[7:0]$9 [0] } Optimizing cells in module \fifo. Performed a total of 1 changes. 3.10.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 3.10.6. Executing OPT_DFF pass (perform DFF optimizations). 3.10.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 3.10.8. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.10.9. Rerunning OPT passes. (Maybe there is more to do..) 3.10.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \fifo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 3.10.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \fifo. Performed a total of 0 changes. 3.10.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 3.10.13. Executing OPT_DFF pass (perform DFF optimizations). 3.10.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 3.10.15. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.10.16. Finished OPT passes. (There is nothing left to do.) 3.11. Executing FSM pass (extract and optimize FSM). 3.11.1. Executing FSM_DETECT pass (finding FSMs in design). 3.11.2. Executing FSM_EXTRACT pass (extracting FSM from design). 3.11.3. Executing FSM_OPT pass (simple optimizations of FSMs). 3.11.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 3.11.5. Executing FSM_OPT pass (simple optimizations of FSMs). 3.11.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 3.11.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 3.11.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 3.12. Executing OPT pass (performing simple optimizations). 3.12.1. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.12.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 3.12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \fifo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 3.12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \fifo. Performed a total of 0 changes. 3.12.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 3.12.6. Executing OPT_DFF pass (perform DFF optimizations). Adding EN signal on $procdff$507 ($adff) from module fifo (D = $0\count[8:0], Q = \count). Adding EN signal on $flatten\fifo_writer.$procdff$514 ($adff) from module fifo (D = $flatten\fifo_writer.$procmux$465_Y, Q = \fifo_writer.addr). Adding EN signal on $flatten\fifo_reader.$procdff$514 ($adff) from module fifo (D = $flatten\fifo_reader.$procmux$465_Y, Q = \fifo_reader.addr). 3.12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. Removed 2 unused cells and 2 unused wires. 3.12.8. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.12.9. Rerunning OPT passes. (Maybe there is more to do..) 3.12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \fifo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 3.12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \fifo. Performed a total of 0 changes. 3.12.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 3.12.13. Executing OPT_DFF pass (perform DFF optimizations). 3.12.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 3.12.15. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.12.16. Finished OPT passes. (There is nothing left to do.) 3.13. Executing WREDUCE pass (reducing word size of cells). Removed top 31 bits (of 32) from port B of cell fifo.$add$fifo.v:66$17 ($add). Removed top 23 bits (of 32) from port Y of cell fifo.$add$fifo.v:66$17 ($add). Removed top 31 bits (of 32) from port B of cell fifo.$sub$fifo.v:68$20 ($sub). Removed top 23 bits (of 32) from port Y of cell fifo.$sub$fifo.v:68$20 ($sub). Removed top 31 bits (of 32) from port B of cell fifo.$flatten\fifo_writer.$add$fifo.v:19$404 ($add). Removed top 24 bits (of 32) from port Y of cell fifo.$flatten\fifo_writer.$add$fifo.v:19$404 ($add). Removed top 31 bits (of 32) from port B of cell fifo.$flatten\fifo_reader.$add$fifo.v:19$404 ($add). Removed top 24 bits (of 32) from port Y of cell fifo.$flatten\fifo_reader.$add$fifo.v:19$404 ($add). Removed top 23 bits (of 32) from wire fifo.$add$fifo.v:66$17_Y. 3.14. Executing PEEPOPT pass (run peephole optimizers). 3.15. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. Removed 0 unused cells and 1 unused wires. 3.16. Executing SHARE pass (SAT-based resource sharing). 3.17. Executing TECHMAP pass (map to technology primitives). 3.17.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/cmp2lut.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/cmp2lut.v' to AST representation. Generating RTLIL representation for module `\_90_lut_cmp_'. Successfully finished Verilog frontend. 3.17.2. Continuing TECHMAP pass. No more expansions possible. 3.18. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.19. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 3.20. Executing ALUMACC pass (create $alu and $macc cells). Extracting $alu and $macc cells in module fifo: creating $macc model for $add$fifo.v:66$17 ($add). creating $macc model for $flatten\fifo_reader.$add$fifo.v:19$404 ($add). creating $macc model for $flatten\fifo_writer.$add$fifo.v:19$404 ($add). creating $macc model for $sub$fifo.v:68$20 ($sub). creating $alu model for $macc $sub$fifo.v:68$20. creating $alu model for $macc $flatten\fifo_writer.$add$fifo.v:19$404. creating $alu model for $macc $flatten\fifo_reader.$add$fifo.v:19$404. creating $alu model for $macc $add$fifo.v:66$17. creating $alu cell for $add$fifo.v:66$17: $auto$alumacc.cc:495:replace_alu$525 creating $alu cell for $flatten\fifo_reader.$add$fifo.v:19$404: $auto$alumacc.cc:495:replace_alu$528 creating $alu cell for $flatten\fifo_writer.$add$fifo.v:19$404: $auto$alumacc.cc:495:replace_alu$531 creating $alu cell for $sub$fifo.v:68$20: $auto$alumacc.cc:495:replace_alu$534 created 4 $alu and 0 $macc cells. 3.21. Executing OPT pass (performing simple optimizations). 3.21.1. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.21.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 3.21.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \fifo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 3.21.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \fifo. Performed a total of 0 changes. 3.21.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 3.21.6. Executing OPT_DFF pass (perform DFF optimizations). 3.21.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 3.21.8. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 3.21.9. Finished OPT passes. (There is nothing left to do.) 3.22. Executing MEMORY pass. 3.22.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 3.22.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Performed a total of 0 transformations. 3.22.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing fifo.data write port 0. 3.22.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.22.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Checking read port `\data'[0] in module `\fifo': merging output FF to cell. Write port 0: non-transparent. 3.22.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. Removed 1 unused cells and 9 unused wires. 3.22.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 3.22.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 3.22.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 3.22.10. Executing MEMORY_COLLECT pass (generating $mem cells). 3.23. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. echo on yosys> tee -o fifo.libmap debug memory_libmap -lib +/ice40/brams.txt -lib +/ice40/spram.txt -no-auto-huge yosys> debug memory_libmap -lib +/ice40/brams.txt -lib +/ice40/spram.txt -no-auto-huge yosys> memory_libmap -lib +/ice40/brams.txt -lib +/ice40/spram.txt -no-auto-huge 4. Executing MEMORY_LIBMAP pass (mapping memories to cells). Memory fifo.data mapping candidates (post-geometry): - logic fallback - cost: 2048.000000 - $__ICE40_RAM4K_: - option HAS_BE 0 - emulation score: 7 - replicates (for ports): 1 - replicates (for data): 1 - mux score: 0 - demux score: 0 - cost: 78.000000 - abits 11 dbits 2 4 8 16 - chosen base width 8 - swizzle 0 1 2 3 4 5 6 7 - emulate read-first behavior - write port 0: port group W - widths 2 4 8 - read port 0: port group R - widths 2 4 8 16 - emulate transparency with write port 0 - $__ICE40_RAM4K_: - option HAS_BE 1 - emulation score: 7 - replicates (for ports): 1 - replicates (for data): 1 - mux score: 0 - demux score: 0 - cost: 78.000000 - abits 11 dbits 2 4 8 16 - byte width 1 - chosen base width 8 - swizzle 0 1 2 3 4 5 6 7 - emulate read-first behavior - write port 0: port group W - widths 16 - read port 0: port group R - widths 2 4 8 16 - emulate transparency with write port 0 Memory fifo.data mapping candidates (after post-geometry prune): - logic fallback - cost: 2048.000000 - $__ICE40_RAM4K_: - option HAS_BE 0 - emulation score: 7 - replicates (for ports): 1 - replicates (for data): 1 - mux score: 0 - demux score: 0 - cost: 78.000000 - abits 11 dbits 2 4 8 16 - chosen base width 8 - swizzle 0 1 2 3 4 5 6 7 - emulate read-first behavior - write port 0: port group W - widths 2 4 8 - read port 0: port group R - widths 2 4 8 16 - emulate transparency with write port 0 mapping memory fifo.data via $__ICE40_RAM4K_ yosys> echo off echo off 5. Executing SYNTH_ICE40 pass. 5.1. Executing MEMORY_LIBMAP pass (mapping memories to cells). 5.2. Executing TECHMAP pass (map to technology primitives). 5.2.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/ice40/brams_map.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/brams_map.v' to AST representation. Generating RTLIL representation for module `\$__ICE40_RAM4K_'. Successfully finished Verilog frontend. 5.2.2. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/ice40/spram_map.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/spram_map.v' to AST representation. Generating RTLIL representation for module `\$__ICE40_SPRAM_'. Successfully finished Verilog frontend. 5.2.3. Continuing TECHMAP pass. Using template $paramod$13b3947419e62b7bbba1b93c77e4155efbe69a94\$__ICE40_RAM4K_ for cells of type $__ICE40_RAM4K_. No more expansions possible. 5.3. Executing ICE40_BRAMINIT pass. 6. Generating Graphviz representation of design. Writing dot description to `rdata_map_ram.dot'. Dumping selected parts of module fifo to page 1. 7. Executing SYNTH_ICE40 pass. 7.1. Executing OPT pass (performing simple optimizations). 7.1.1. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 7.1.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 7.1.3. Executing OPT_DFF pass (perform DFF optimizations). Removing always-active EN on $auto$mem.cc:1146:emulate_transparency$553 ($dffe) from module fifo. 7.1.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. Removed 0 unused cells and 18 unused wires. 7.1.5. Rerunning OPT passes. (Removed registers in this run.) 7.1.6. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 7.1.7. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 7.1.8. Executing OPT_DFF pass (perform DFF optimizations). 7.1.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 7.1.10. Finished fast OPT passes. 7.2. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 7.3. Executing OPT pass (performing simple optimizations). 7.3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 7.3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 7.3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \fifo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 7.3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \fifo. Performed a total of 0 changes. 7.3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 7.3.6. Executing OPT_DFF pass (perform DFF optimizations). 7.3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 7.3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 7.3.9. Finished OPT passes. (There is nothing left to do.) 8. Generating Graphviz representation of design. Writing dot description to `rdata_map_ffram.dot'. Dumping selected parts of module fifo to page 1. 9. Executing SYNTH_ICE40 pass. 9.1. Executing ICE40_WRAPCARRY pass (wrap carries). 9.2. Executing TECHMAP pass (map to technology primitives). 9.2.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 9.2.2. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/ice40/arith_map.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/arith_map.v' to AST representation. Generating RTLIL representation for module `\_80_ice40_alu'. Successfully finished Verilog frontend. 9.2.3. Continuing TECHMAP pass. Using extmapper simplemap for cells of type $eq. Using extmapper simplemap for cells of type $logic_not. Using extmapper simplemap for cells of type $logic_and. Using template $paramod$c3cd1564c35d873179656addd6052d7ea8b6d991\_80_ice40_alu for cells of type $alu. Using extmapper simplemap for cells of type $reduce_bool. Using extmapper simplemap for cells of type $adffe. Using extmapper simplemap for cells of type $mux. Using template $paramod$6f67705c43e5e94c02b6ebb52209ce5aa5ade4c1\_80_ice40_alu for cells of type $alu. Using template $paramod$53700bbee849b2010ad0b60a61ccd204a10e24ca\_80_ice40_alu for cells of type $alu. Using extmapper simplemap for cells of type $dff. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $pos. No more expansions possible. 9.3. Executing OPT pass (performing simple optimizations). 9.3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 9.3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 27 cells. 9.3.3. Executing OPT_DFF pass (perform DFF optimizations). 9.3.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. Removed 11 unused cells and 83 unused wires. 9.3.5. Finished fast OPT passes. 9.4. Executing ICE40_OPT pass (performing simple optimizations). 9.4.1. Running ICE40 specific optimizations. Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) fifo.$auto$alumacc.cc:495:replace_alu$525.slice[0].carry: CO=\count [0] Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) fifo.$auto$alumacc.cc:495:replace_alu$528.slice[0].carry: CO=\fifo_reader.addr [0] Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) fifo.$auto$alumacc.cc:495:replace_alu$531.slice[0].carry: CO=\fifo_writer.addr [0] Optimized $__ICE40_CARRY_WRAPPER cell back to logic (without SB_CARRY) fifo.$auto$alumacc.cc:495:replace_alu$534.slice[0].carry: CO=\count [0] 9.4.2. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 9.4.3. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 9.4.4. Executing OPT_DFF pass (perform DFF optimizations). 9.4.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 9.4.6. Rerunning OPT passes. (Removed registers in this run.) 9.4.7. Running ICE40 specific optimizations. 9.4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 9.4.9. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 9.4.10. Executing OPT_DFF pass (perform DFF optimizations). 9.4.11. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 9.4.12. Finished OPT passes. (There is nothing left to do.) 10. Generating Graphviz representation of design. Writing dot description to `rdata_map_gates.dot'. Dumping selected parts of module fifo to page 1. 11. Executing SYNTH_ICE40 pass. 11.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). 11.2. Executing TECHMAP pass (map to technology primitives). 11.2.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/ice40/ff_map.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/ff_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NP_'. Generating RTLIL representation for module `\$_DFFE_PP_'. Generating RTLIL representation for module `\$_DFF_NP0_'. Generating RTLIL representation for module `\$_DFF_NP1_'. Generating RTLIL representation for module `\$_DFF_PP0_'. Generating RTLIL representation for module `\$_DFF_PP1_'. Generating RTLIL representation for module `\$_DFFE_NP0P_'. Generating RTLIL representation for module `\$_DFFE_NP1P_'. Generating RTLIL representation for module `\$_DFFE_PP0P_'. Generating RTLIL representation for module `\$_DFFE_PP1P_'. Generating RTLIL representation for module `\$_SDFF_NP0_'. Generating RTLIL representation for module `\$_SDFF_NP1_'. Generating RTLIL representation for module `\$_SDFF_PP0_'. Generating RTLIL representation for module `\$_SDFF_PP1_'. Generating RTLIL representation for module `\$_SDFFCE_NP0P_'. Generating RTLIL representation for module `\$_SDFFCE_NP1P_'. Generating RTLIL representation for module `\$_SDFFCE_PP0P_'. Generating RTLIL representation for module `\$_SDFFCE_PP1P_'. Successfully finished Verilog frontend. 11.2.2. Continuing TECHMAP pass. Using template \$_DFFE_PP0P_ for cells of type $_DFFE_PP0P_. Using template \$_DFF_P_ for cells of type $_DFF_P_. No more expansions possible. 11.3. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 11.4. Executing SIMPLEMAP pass (map simple cells to gate primitives). Mapping fifo.$auto$alumacc.cc:495:replace_alu$528.slice[0].carry ($lut). Mapping fifo.$auto$alumacc.cc:495:replace_alu$531.slice[0].carry ($lut). Mapping fifo.$auto$alumacc.cc:495:replace_alu$534.slice[0].carry ($lut). Mapping fifo.$auto$alumacc.cc:495:replace_alu$525.slice[0].carry ($lut). 11.5. Executing ICE40_OPT pass (performing simple optimizations). 11.5.1. Running ICE40 specific optimizations. 11.5.2. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 11.5.3. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 4 cells. 11.5.4. Executing OPT_DFF pass (perform DFF optimizations). 11.5.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. Removed 0 unused cells and 270 unused wires. 11.5.6. Rerunning OPT passes. (Removed registers in this run.) 11.5.7. Running ICE40 specific optimizations. 11.5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 11.5.9. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 11.5.10. Executing OPT_DFF pass (perform DFF optimizations). 11.5.11. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 11.5.12. Rerunning OPT passes. (Removed registers in this run.) 11.5.13. Running ICE40 specific optimizations. 11.5.14. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 11.5.15. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 11.5.16. Executing OPT_DFF pass (perform DFF optimizations). 11.5.17. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 11.5.18. Finished OPT passes. (There is nothing left to do.) 12. Generating Graphviz representation of design. Writing dot description to `rdata_map_ffs.dot'. Dumping selected parts of module fifo to page 1. 13. Executing SYNTH_ICE40 pass. 13.1. Executing TECHMAP pass (map to technology primitives). 13.1.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/ice40/latches_map.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/latches_map.v' to AST representation. Generating RTLIL representation for module `\$_DLATCH_N_'. Generating RTLIL representation for module `\$_DLATCH_P_'. Successfully finished Verilog frontend. 13.1.2. Continuing TECHMAP pass. No more expansions possible. 13.2. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/ice40/abc9_model.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/abc9_model.v' to AST representation. Generating RTLIL representation for module `$__ICE40_CARRY_WRAPPER'. Successfully finished Verilog frontend. 13.3. Executing ABC9 pass. 13.3.1. Executing ABC9_OPS pass (helper functions for ABC9). 13.3.2. Executing ABC9_OPS pass (helper functions for ABC9). 13.3.3. Executing SCC pass (detecting logic loops). Found 0 SCCs in module fifo. Found 0 SCCs. 13.3.4. Executing ABC9_OPS pass (helper functions for ABC9). 13.3.5. Executing PROC pass (convert processes to netlists). 13.3.5.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 13.3.5.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 13.3.5.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 13.3.5.4. Executing PROC_INIT pass (extract init attributes). 13.3.5.5. Executing PROC_ARST pass (detect async resets in processes). 13.3.5.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 13.3.5.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 13.3.5.8. Executing PROC_DLATCH pass (convert process syncs to latches). 13.3.5.9. Executing PROC_DFF pass (convert process syncs to FFs). 13.3.5.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 13.3.5.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 13.3.5.12. Executing OPT_EXPR pass (perform const folding). 13.3.6. Executing TECHMAP pass (map to technology primitives). 13.3.6.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 13.3.6.2. Continuing TECHMAP pass. No more expansions possible. 13.3.7. Executing OPT pass (performing simple optimizations). 13.3.7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module SB_DFFER. 13.3.7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\SB_DFFER'. Removed a total of 0 cells. 13.3.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \SB_DFFER.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 13.3.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \SB_DFFER. Performed a total of 0 changes. 13.3.7.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\SB_DFFER'. Removed a total of 0 cells. 13.3.7.6. Executing OPT_DFF pass (perform DFF optimizations). 13.3.7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \SB_DFFER.. 13.3.7.8. Executing OPT_EXPR pass (perform const folding). Optimizing module SB_DFFER. 13.3.7.9. Finished OPT passes. (There is nothing left to do.) 13.3.8. Executing TECHMAP pass (map to technology primitives). 13.3.8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/abc9_map.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/abc9_map.v' to AST representation. Successfully finished Verilog frontend. 13.3.8.2. Continuing TECHMAP pass. Using template SB_DFFER for cells of type SB_DFFER. No more expansions possible. 13.3.9. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/abc9_model.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/abc9_model.v' to AST representation. Generating RTLIL representation for module `$__ABC9_DELAY'. Generating RTLIL representation for module `$__ABC9_SCC_BREAKER'. Generating RTLIL representation for module `$__DFF_N__$abc9_flop'. Generating RTLIL representation for module `$__DFF_P__$abc9_flop'. Successfully finished Verilog frontend. 13.3.10. Executing ABC9_OPS pass (helper functions for ABC9). 13.3.11. Executing ABC9_OPS pass (helper functions for ABC9). 13.3.12. Executing ABC9_OPS pass (helper functions for ABC9). 13.3.13. Executing TECHMAP pass (map to technology primitives). 13.3.13.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 13.3.13.2. Continuing TECHMAP pass. Using template $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1 for cells of type $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1. Using template $paramod\SB_LUT4\LUT_INIT=16'0110100110010110 for cells of type SB_LUT4. Using template SB_CARRY for cells of type SB_CARRY. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $logic_and. Using extmapper simplemap for cells of type $logic_or. No more expansions possible. 13.3.14. Executing OPT pass (performing simple optimizations). 13.3.14.1. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 13.3.14.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 12 cells. 13.3.14.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \fifo.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 13.3.14.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \fifo. Performed a total of 0 changes. 13.3.14.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 13.3.14.6. Executing OPT_DFF pass (perform DFF optimizations). 13.3.14.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. Removed 0 unused cells and 24 unused wires. 13.3.14.8. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 13.3.14.9. Rerunning OPT passes. (Maybe there is more to do..) 13.3.14.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \fifo.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 13.3.14.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \fifo. Performed a total of 0 changes. 13.3.14.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\fifo'. Removed a total of 0 cells. 13.3.14.13. Executing OPT_DFF pass (perform DFF optimizations). 13.3.14.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \fifo.. 13.3.14.15. Executing OPT_EXPR pass (perform const folding). Optimizing module fifo. 13.3.14.16. Finished OPT passes. (There is nothing left to do.) 13.3.15. Executing AIGMAP pass (map logic to AIG). Module fifo: replaced 7 cells with 43 new cells, skipped 11 cells. replaced 2 cell types: 2 $_OR_ 5 $_MUX_ not replaced 3 cell types: 8 $specify2 1 $_NOT_ 2 $_AND_ 13.3.16. Executing AIGMAP pass (map logic to AIG). Module fifo: replaced 46 cells with 256 new cells, skipped 232 cells. replaced 3 cell types: 22 $_OR_ 8 $_XOR_ 16 $_MUX_ not replaced 16 cell types: 2 $scopeinfo 20 $_NOT_ 19 $_AND_ 26 SB_DFF 25 SB_DFFER 1 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000001100010 2 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000100001011 25 SB_DFFER_$abc9_byp 1 $paramod$ba68a0420314c29d51ab7ddbd2ec9361aa29f018\SB_RAM40_4K 16 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000010100001 11 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000011001011 30 $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1 1 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000010000101 11 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000011100000 16 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000100010010 26 $paramod$__ABC9_DELAY\DELAY=32'00000000000000000000000000010101 13.3.16.1. Executing ABC9_OPS pass (helper functions for ABC9). 13.3.16.2. Executing ABC9_OPS pass (helper functions for ABC9). 13.3.16.3. Executing XAIGER backend. Extracted 113 AND gates and 562 wires from module `fifo' to a netlist network with 71 inputs and 127 outputs. 13.3.16.4. Executing ABC9_EXE pass (technology mapping using ABC9). 13.3.16.5. Executing ABC9. Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_lut /input.lut ABC: + read_box /input.box ABC: + &read /input.xaig ABC: + &ps ABC: /input : i/o = 71/ 127 and = 113 lev = 6 (0.27) mem = 0.01 MB box = 139 bb = 109 ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 20 carries. ABC: + &scorr ABC: Warning: The network is combinational. ABC: + &sweep ABC: + &dc2 ABC: + &dch -f ABC: + &ps ABC: /input : i/o = 71/ 127 and = 161 lev = 6 (0.12) mem = 0.01 MB ch = 20 box = 139 bb = 109 ABC: cst = 0 cls = 19 lit = 20 unused = 362 proof = 0 ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 20 carries. ABC: + &if -W 250 -v ABC: K = 4. Memory (bytes): Truth = 0. Cut = 52. Obj = 108. Set = 516. CutMin = no ABC: Node = 161. Ch = 19. Total mem = 0.09 MB. Peak cut mem = 0.01 MB. ABC: P: Del = 2712.00. Ar = 28.0. Edge = 81. Cut = 578. T = 0.00 sec ABC: P: Del = 2712.00. Ar = 27.0. Edge = 87. Cut = 572. T = 0.00 sec ABC: P: Del = 2712.00. Ar = 27.0. Edge = 87. Cut = 574. T = 0.00 sec ABC: F: Del = 2712.00. Ar = 26.0. Edge = 88. Cut = 557. T = 0.00 sec ABC: A: Del = 2712.00. Ar = 26.0. Edge = 86. Cut = 555. T = 0.00 sec ABC: A: Del = 2712.00. Ar = 26.0. Edge = 86. Cut = 562. T = 0.00 sec ABC: Total time = 0.00 sec ABC: + &write -n /output.aig ABC: + &mfs ABC: The network is not changed by "&mfs". ABC: + &ps -l ABC: /input : i/o = 71/ 127 and = 91 lev = 6 (0.12) mem = 0.01 MB box = 139 bb = 109 ABC: Mapping (K=4) : lut = 26 edge = 86 lev = 3 (0.05) levB = 10 mem = 0.00 MB ABC: LUT = 26 : 2=4 15.4 % 3=10 38.5 % 4=12 46.2 % Ave = 3.31 ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 20 carries. ABC: + &write -n /output.aig ABC: + time ABC: elapse: 0.02 seconds, total: 0.02 seconds 13.3.16.6. Executing AIGER frontend. Removed 175 unused cells and 883 unused wires. 13.3.16.7. Executing ABC9_OPS pass (helper functions for ABC9). ABC RESULTS: $lut cells: 29 ABC RESULTS: \SB_DFFER_$abc9_byp cells: 25 ABC RESULTS: $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1 cells: 30 ABC RESULTS: input signals: 36 ABC RESULTS: output signals: 91 Removing temp directory. 13.3.17. Executing TECHMAP pass (map to technology primitives). 13.3.17.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/abc9_unmap.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/abc9_unmap.v' to AST representation. Generating RTLIL representation for module `\$__DFF_x__$abc9_flop'. Generating RTLIL representation for module `\$__ABC9_SCC_BREAKER'. Successfully finished Verilog frontend. 13.3.17.2. Continuing TECHMAP pass. Using template SB_DFFER_$abc9_byp for cells of type SB_DFFER_$abc9_byp. Using template $paramod$ba68a0420314c29d51ab7ddbd2ec9361aa29f018\SB_RAM40_4K for cells of type $paramod$ba68a0420314c29d51ab7ddbd2ec9361aa29f018\SB_RAM40_4K. Using template $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1 for cells of type $paramod$__ICE40_CARRY_WRAPPER\LUT=16'0110100110010110\I3_IS_CI=1'1. No more expansions possible. 13.4. Executing ICE40_WRAPCARRY pass (wrap carries). 13.5. Executing TECHMAP pass (map to technology primitives). 13.5.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/ice40/ff_map.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/ff_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NP_'. Generating RTLIL representation for module `\$_DFFE_PP_'. Generating RTLIL representation for module `\$_DFF_NP0_'. Generating RTLIL representation for module `\$_DFF_NP1_'. Generating RTLIL representation for module `\$_DFF_PP0_'. Generating RTLIL representation for module `\$_DFF_PP1_'. Generating RTLIL representation for module `\$_DFFE_NP0P_'. Generating RTLIL representation for module `\$_DFFE_NP1P_'. Generating RTLIL representation for module `\$_DFFE_PP0P_'. Generating RTLIL representation for module `\$_DFFE_PP1P_'. Generating RTLIL representation for module `\$_SDFF_NP0_'. Generating RTLIL representation for module `\$_SDFF_NP1_'. Generating RTLIL representation for module `\$_SDFF_PP0_'. Generating RTLIL representation for module `\$_SDFF_PP1_'. Generating RTLIL representation for module `\$_SDFFCE_NP0P_'. Generating RTLIL representation for module `\$_SDFFCE_NP1P_'. Generating RTLIL representation for module `\$_SDFFCE_PP0P_'. Generating RTLIL representation for module `\$_SDFFCE_PP1P_'. Successfully finished Verilog frontend. 13.5.2. Continuing TECHMAP pass. No more expansions possible. Removed 7 unused cells and 1055 unused wires. 13.6. Executing OPT_LUT pass (optimize LUTs). Discovering LUTs. Number of LUTs: 58 1-LUT 3 2-LUT 8 3-LUT 35 4-LUT 12 with \SB_CARRY (#0) 25 with \SB_CARRY (#1) 26 Eliminating LUTs. Number of LUTs: 58 1-LUT 3 2-LUT 8 3-LUT 35 4-LUT 12 with \SB_CARRY (#0) 25 with \SB_CARRY (#1) 26 Combining LUTs. Number of LUTs: 58 1-LUT 3 2-LUT 8 3-LUT 35 4-LUT 12 with \SB_CARRY (#0) 25 with \SB_CARRY (#1) 26 Eliminated 0 LUTs. Combined 0 LUTs. 14. Generating Graphviz representation of design. Writing dot description to `rdata_map_luts.dot'. Dumping selected parts of module fifo to page 1. 15. Executing SYNTH_ICE40 pass. 15.1. Executing TECHMAP pass (map to technology primitives). 15.1.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/ice40/cells_map.v Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/ice40/cells_map.v' to AST representation. Generating RTLIL representation for module `\$lut'. Successfully finished Verilog frontend. 15.1.2. Continuing TECHMAP pass. Using template $paramod$fd904e9e35cfd343a9df248824bd3f1408724879\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11011000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000001\LUT=2'01 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001011 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0110 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0010 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0100 for cells of type $lut. Using template $paramod$8d7a8d6e3356de09670738ba85f2c6b874f6b06d\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10010000 for cells of type $lut. Using template $paramod$c71ed138d834112b80a85f4478e2e21f72e5c48b\$lut for cells of type $lut. Using template $paramod$ba7c22fadfbf9ee7abcb895a21403114111dd201\$lut for cells of type $lut. Using template $paramod$5183b4454493323aca6310872659274580528fcf\$lut for cells of type $lut. Using template $paramod$571404c0889eaf57f492cb5e37f8acb5df5852f9\$lut for cells of type $lut. Using template $paramod$e87f431398fe61dc3cef677df705fdf1c11aa0f7\$lut for cells of type $lut. No more expansions possible. Removed 0 unused cells and 126 unused wires. 15.2. Executing AUTONAME pass. Renamed 1132 objects in module fifo (19 iterations). 15.3. Executing HIERARCHY pass (managing design hierarchy). Attribute `top' found on module `fifo'. Setting top module to fifo. 15.3.1. Analyzing design hierarchy.. Top module: \fifo 15.3.2. Analyzing design hierarchy.. Top module: \fifo Removed 0 unused modules. 15.4. Printing statistics. === fifo === Number of wires: 95 Number of wire bits: 262 Number of public wires: 95 Number of public wire bits: 262 Number of ports: 7 Number of port bits: 29 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 138 $scopeinfo 2 SB_CARRY 26 SB_DFF 26 SB_DFFER 25 SB_LUT4 58 SB_RAM40_4K 1 15.5. Executing CHECK pass (checking for obvious problems). Checking module fifo... Found and reported 0 problems. 16. Generating Graphviz representation of design. Writing dot description to `rdata_map_cells.dot'. Dumping selected parts of module fifo to page 1. echo on yosys> tee -a fifo.stat stat -top fifo yosys> stat -top fifo 17. Printing statistics. === fifo === Number of wires: 95 Number of wire bits: 262 Number of public wires: 95 Number of public wire bits: 262 Number of ports: 7 Number of port bits: 29 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 138 $scopeinfo 2 SB_CARRY 26 SB_DFF 26 SB_DFFER 25 SB_LUT4 58 SB_RAM40_4K 1 yosys> echo off echo off End of script. Logfile hash: c770f1ae08, CPU: user 1.38s system 0.01s, MEM: 26.12 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 35% 21x read_verilog (0 sec), 32% 11x techmap (0 sec), ... make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' ../../../../yosys -QTl test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' -f verilog absval_ref.v ../../../../yosys -QTl test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' -f verilog absval_ref.v ../../../../yosys -QTl test2.log_new -m ./my_cmd.so -p 'hierarchy -top test; test2' -f verilog sigmap_test.v -- Parsing `absval_ref.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: absval_ref.v -- Parsing `sigmap_test.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: sigmap_test.v -- Parsing `absval_ref.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `sigmap_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. -- Running command `hierarchy -top test; test2' -- 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 0 0 0 1 1 1 Mapped signal x: \a 3. Doing important stuff! Log message #0. Log message #1. Log message #2. Log message #3. Log message #4. Log message #5. Log message #6. Log message #7. Log message #8. Log message #9. Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. -- Running command `my_cmd foo bar' -- Arguments to my_cmd: my_cmd foo bar Modules in current design: absval_ref (4 wires, 2 cells) mv test2.log_new test2.log mv test0.log_new test0.log Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. -- Running command `clean; test1; dump' -- Removed 0 unused cells and 1 unused wires. Name of this module: absval autoidx 6 module \absval wire width 4 $auto$my_cmd.cc:41:execute$3 wire width 4 output 2 \y wire width 4 input 1 \a cell $mux $auto$my_cmd.cc:43:execute$5 parameter \WIDTH 4 connect \Y \y connect \S \a [3] connect \B $auto$my_cmd.cc:41:execute$3 connect \A \a end cell $neg $auto$my_cmd.cc:42:execute$4 parameter \Y_WIDTH 4 parameter \A_WIDTH 4 parameter \A_SIGNED 1 connect \Y $auto$my_cmd.cc:41:execute$3 connect \A \a end end attribute \cells_not_processed 1 attribute \src "absval_ref.v:1.1-3.10" module \absval_ref attribute \src "absval_ref.v:2.20-2.22" wire width 4 signed $neg$absval_ref.v:2$1_Y attribute \src "absval_ref.v:1.38-1.39" wire width 4 input 1 signed \a attribute \src "absval_ref.v:1.54-1.55" wire width 4 output 2 \y attribute \src "absval_ref.v:2.20-2.22" cell $neg $neg$absval_ref.v:2$1 parameter \A_SIGNED 1 parameter \A_WIDTH 4 parameter \Y_WIDTH 4 connect \A \a connect \Y $neg$absval_ref.v:2$1_Y end attribute \src "absval_ref.v:2.13-2.26" cell $mux $ternary$absval_ref.v:2$2 parameter \WIDTH 4 connect \A \a connect \B $neg$absval_ref.v:2$1_Y connect \S \a [3] connect \Y \y end end mv test1.log_new test1.log make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' make[4]: Leaving directory '/build/reproducible-path/yosys-0.51/docs' make images make[4]: Entering directory '/build/reproducible-path/yosys-0.51/docs' make -C source/_images make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/_images' make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/extensions' ../../../../yosys -m ./my_cmd.so -p 'test1; show -format dot -prefix test1' make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' make[6]: Nothing to be done for 'dots'. make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/fifo' make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' ../../../../yosys counter.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' ../../../../yosys opt_share.ys ../../../../yosys counter.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' ../../../../yosys scrambler.ys ../../../../yosys counter.ys cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex verilog_flow.tex --interaction=nonstopmode make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' ../../../../yosys macc_simple_test.ys ../../../../yosys opt_muxtree.ys ../../../../yosys scrambler.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' ../../../../yosys select.ys make[6]: Nothing to be done for 'dots'. make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/stubnets' cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_flow.tex --interaction=nonstopmode ../../../../yosys opt_merge.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' ../../../../yosys -p 'prep -top splice_demo; show -format dot -prefix splice' splice.v ../../../../yosys counter.ys cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex simplified_rtlil.tex --interaction=nonstopmode make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' ../../../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format dot' ../../../../yosys memdemo.ys ../../../../yosys example.ys make[6]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' ../../../../yosys red_or3x1_test.ys /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Running command `test1; show -format dot -prefix test1' -- Name of this module: absval 1. Generating Graphviz representation of design. cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex overview_rtlil.tex --interaction=nonstopmode /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `counter.ys' -- 1. Executing Verilog-2005 frontend: counter.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `counter.ys' -- 1. Executing Verilog-2005 frontend: counter.v Writing dot description to `test1.dot'. Dumping module absval to page 1. End of script. Logfile hash: 2e5f50e91f, CPU: user 0.01s system 0.00s, MEM: 7.75 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 95% 1x show (0 sec), 4% 1x test1 (0 sec) Parsing Verilog input from `counter.v' to AST representation. Generating RTLIL representation for module `\counter'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `opt_share.ys' -- 1. Executing Verilog-2005 frontend: < | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `counter.ys' -- 1. Executing Verilog-2005 frontend: counter.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `scrambler.ys' -- 1. Executing Verilog-2005 frontend: scrambler.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `scrambler.ys' -- 1. Executing Verilog-2005 frontend: scrambler.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `macc_simple_test.ys' -- 1. Executing Verilog-2005 frontend: macc_simple_test.v /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `opt_muxtree.ys' -- 1. Executing Verilog-2005 frontend: < | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `opt_merge.ys' -- 1. Executing Verilog-2005 frontend: < | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `select.ys' -- 1. Executing Verilog-2005 frontend: select.v Parsing Verilog input from `counter.v' to AST representation. Generating RTLIL representation for module `\counter'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \counter 2.2. Analyzing design hierarchy.. Top module: \counter Removed 0 unused modules. 3. Generating Graphviz representation of design. Writing dot description to `counter_00.dot'. Dumping module counter to page 1. Writing dot description to `counter_00.dot'. Dumping module counter to page 1. Parsing Verilog input from `< | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Parsing `splice.v' using frontend ` -vlog2k' -- 1. Executing Verilog-2005 frontend: splice.v 3. Executing PROC pass (convert processes to netlists). Converted 0 switches. 4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Converted 0 switches. 4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Removed 0 redundant assignments. Promoted 2 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). 3.5. Executing PROC_ARST pass (detect async resets in processes). Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `counter.ys' -- 1. Executing Verilog-2005 frontend: counter.v Creating decoders for process `\counter.$proc$counter.v:6$1'. 1/1: $0\count[1:0] 4.8. Executing PROC_DLATCH pass (convert process syncs to latches). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\counter.$proc$counter.v:6$1'. 1/1: $0\count[1:0] 4.8. Executing PROC_DLATCH pass (convert process syncs to latches). Removed 0 redundant assignments. Promoted 2 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. 1/1: $1\xs[31:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Parsing Verilog input from `select.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. Top module: \test 2.1.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. 1/1: $1\xs[31:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 2 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 4.9. Executing PROC_DFF pass (convert process syncs to FFs). Parsing Verilog input from `splice.v' to AST representation. Storing AST representation for module `$abstract\splice_demo'. Successfully finished Verilog frontend. -- Running command `prep -top splice_demo; show -format dot -prefix splice' -- 2. Executing PREP pass. 4.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). 2.1. Executing HIERARCHY pass (managing design hierarchy). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 2.2. Executing AST frontend in derive mode using pre-parsed AST for module `\splice_demo'. Creating decoders for process `\test.$proc$select.v:7$1'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Writing dot description to `counter_00.dot'. Dumping module counter to page 1. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `example.ys' -- 1. Executing Verilog-2005 frontend: example.v 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. Removed a total of 0 dead cases. 4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 4.4. Executing PROC_INIT pass (extract init attributes). 4.5. Executing PROC_ARST pass (detect async resets in processes). 4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Parsing Verilog input from `counter.v' to AST representation. Generating RTLIL representation for module `\counter'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \counter 2.2. Analyzing design hierarchy.. 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Top module: \counter Removed 0 unused modules. Creating decoders for process `\counter.$proc$counter.v:6$1'. 1/1: $0\count[1:0] 4.8. Executing PROC_DLATCH pass (convert process syncs to latches). Running muxtree optimizer on module \after.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 2/2 on $mux $ternary$< Removed 0 unused cells and 3 unused wires. 3. Generating Graphviz representation of design. Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. created $dff cell `$procdff$8' with positive edge clock. 4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. created $dff cell `$procdff$8' with positive edge clock. 4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3. Generating Graphviz representation of design. 4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). 4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `memdemo.ys' -- 1. Executing Verilog-2005 frontend: memdemo.v Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. Removing empty process `counter.$proc$counter.v:6$1'. Cleaned up 2 empty switches. 4.12. Executing OPT_EXPR pass (perform const folding). Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. Removing empty process `counter.$proc$counter.v:6$1'. Cleaned up 2 empty switches. 4.12. Executing OPT_EXPR pass (perform const folding). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Generating RTLIL representation for module `\splice_demo'. 2.2.1. Analyzing design hierarchy.. Top module: \splice_demo 2.2.2. Analyzing design hierarchy.. Top module: \splice_demo Removing unused module `$abstract\splice_demo'. Removed 1 unused modules. Removed 0 unused cells and 1 unused wires. 3. Generating Graphviz representation of design. 3.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.3. Executing PROC pass (convert processes to netlists). Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$9' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). 2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$select.v:7$1'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). 3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Cleaned up 0 empty switches. 2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.3.4. Executing PROC_INIT pass (extract init attributes). Parsing Verilog input from `example.v' to AST representation. Generating RTLIL representation for module `\example'. Successfully finished Verilog frontend. 2. Generating Graphviz representation of design. 2.3.5. Executing PROC_ARST pass (detect async resets in processes). 4.9. Executing PROC_DFF pass (convert process syncs to FFs). 2.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$12' with positive edge clock. Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$13' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$12' with positive edge clock. Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$13' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. Removing empty process `scrambler.$proc$scrambler.v:6$1'. Cleaned up 1 empty switch. 3.12. Executing OPT_EXPR pass (perform const folding). Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. Removing empty process `scrambler.$proc$scrambler.v:6$1'. Cleaned up 1 empty switch. 3.12. Executing OPT_EXPR pass (perform const folding). Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. created $dff cell `$procdff$8' with positive edge clock. 4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `red_or3x1_test.ys' -- 1. Executing Verilog-2005 frontend: red_or3x1_test.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 3.2. Continuing show pass. Writing dot description to `opt_muxtree_full.dot'. Dumping module after to page 1. Dumping module uut to page 2. 2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). 4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. Removing empty process `counter.$proc$counter.v:6$1'. Cleaned up 2 empty switches. 4.12. Executing OPT_EXPR pass (perform const folding). End of script. Logfile hash: b6e098eb19, CPU: user 0.01s system 0.00s, MEM: 10.15 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 50% 1x clean (0 sec), 29% 1x show (0 sec), ... /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format dot' -- -- Executing script file `proc_01.ys' -- 1. Executing Verilog-2005 frontend: proc_01.v 2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). Parsing Verilog input from `red_or3x1_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. 2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Top module: \test 2.2. Analyzing design hierarchy.. Cleaned up 0 empty switches. 2.3.12. Executing OPT_EXPR pass (perform const folding). Top module: \test Removed 0 unused modules. Writing dot description to `example_first.dot'. Dumping module example to page 1. 3. Executing TECHMAP pass (map to technology primitives). Writing dot description to `counter_00.dot'. Dumping module counter to page 1. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed 0 redundant assignments. Promoted 0 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. Removed a total of 0 dead cases. 4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Removed 0 redundant assignments. Promoted 0 assignments to connections. 4.4. Executing PROC_INIT pass (extract init attributes). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 4.5. Executing PROC_ARST pass (detect async resets in processes). 4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Optimizing module counter. Creating decoders for process `\example.$proc$example.v:3$1'. 1/1: $0\y[1:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.1. Executing Verilog-2005 frontend: red_or3x1_map.v 5. Executing OPT pass (performing simple optimizations). Creating decoders for process `\counter.$proc$counter.v:6$1'. 1/1: $0\count[1:0] 4.8. Executing PROC_DLATCH pass (convert process syncs to latches). Optimizing module counter. Parsing Verilog input from `proc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 5.1. Executing OPT_EXPR pass (perform const folding). Writing dot description to `macc_simple_test_00a.dot'. Dumping module test to page 1. 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). 4. Executing EXTRACT pass (map subcircuits to cells). Found cells that share an operand and can be merged by moving the $mux $ternary$< 4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v gvpack -u -o opt_muxtree.dot opt_muxtree_full.dot Found async reset \R in `\test.$proc$proc_01.v:2$1'. 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating decoders for process `\test.$proc$proc_01.v:2$1'. 1/1: $0\Q[0:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.3. Executing FUTURE pass. 4.9. Executing PROC_DFF pass (convert process syncs to FFs). Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 4.2. Executing PROC pass (convert processes to netlists). 4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 4.2.4. Executing PROC_INIT pass (extract init attributes). 4.2.5. Executing PROC_ARST pass (detect async resets in processes). 4.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. created $dff cell `$procdff$6' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Finding identical cells in module `\after'. Removed a total of 1 cells. Removed 0 unused cells and 4 unused wires. 3. Generating Graphviz representation of design. Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. Removing empty process `example.$proc$example.v:3$1'. Cleaned up 1 empty switch. 3.12. Executing OPT_EXPR pass (perform const folding). 4.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. created $dff cell `$procdff$8' with positive edge clock. 4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). Optimizing module counter. 4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. Removing empty process `counter.$proc$counter.v:6$1'. Cleaned up 2 empty switches. 4.12. Executing OPT_EXPR pass (perform const folding). 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `red_or3x1_map.v' to AST representation. Generating RTLIL representation for module `\$reduce_or'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. 4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2.12. Executing OPT_EXPR pass (perform const folding). Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. created $adff cell `$procdff$4' with positive edge clock and positive level reset. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$proc_01.v:2$1'. Cleaned up 0 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). 2.4. Executing OPT_EXPR pass (perform const folding). Optimizing module splice_demo. 2.4. Executing FUTURE pass. Optimizing module scrambler. Writing dot description to `opt_share_full.dot'. Dumping module after to page 1. Dumping module uut to page 2. Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. 2. Executing PREP pass. Optimizing module scrambler. End of script. Logfile hash: 7082042be4, CPU: user 0.01s system 0.00s, MEM: 9.75 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 58% 1x clean (0 sec), 25% 1x show (0 sec), ... 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. Top module: \memdemo 2.1.2. Analyzing design hierarchy.. Optimizing module macc_16_16_32. Top module: \memdemo Removed 0 unused modules. 4.3. Executing OPT_CLEAN pass (remove unused cells and wires). Writing dot description to `opt_merge_full.dot'. Dumping module after to page 1. Dumping module uut to page 2. 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Optimizing module test. Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Removed 0 redundant assignments. Promoted 14 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). End of script. Logfile hash: dedbdef5c2, CPU: user 0.01s system 0.00s, MEM: 10.29 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 43% 1x clean (0 sec), 25% 1x show (0 sec), ... Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Optimizing module counter. Optimizing module counter. 5.2. Executing OPT_MERGE pass (detect identical cells). 5.2. Executing OPT_MERGE pass (detect identical cells). 2.5. Executing OPT_EXPR pass (perform const folding). Optimizing module example. Optimizing module counter. 4. Generating Graphviz representation of design. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 4.4. Creating graphs for SubCircuit library. Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. Optimizing module counter. 5.2. Executing OPT_MERGE pass (detect identical cells). gvpack -u -o opt_share.dot opt_share_full.dot Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Optimizing module test. Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. ../../../../yosys opt_expr.ys Optimizing cells in module \counter. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$36' with positive edge clock. Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$37' with positive edge clock. Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$38' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$39' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$40' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$41' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$42' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$43' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$44' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$45' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$46' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$47' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$48' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$49' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). Optimizing cells in module \counter. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). Writing dot description to `example_second.dot'. Dumping module example to page 1. gvpack -u -o opt_merge.dot opt_merge_full.dot Finding unused cells or wires in module \test.. Removed 1 unused cells and 6 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module splice_demo. 2.6. Executing OPT_CLEAN pass (remove unused cells and wires). Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 4.5. Running solver from SubCircuit library. Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. No more expansions possible. Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 4.6. Substitute SubCircuits with cells. Checking module test... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). Optimizing cells in module \counter. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Optimizing module counter. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). Removed 0 unused cells and 5 unused wires. 4. Executing SUBMOD pass (moving cells to submodules as requested). Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$8 Removed 0 unused cells and 5 unused wires. 4. Executing SUBMOD pass (moving cells to submodules as requested). Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Removed 0 unused cells and 2 unused wires. 4. Generating Graphviz representation of design. Optimizing module test. Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). Optimizing cells in module \counter. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). 2.7.2. Executing OPT_MERGE pass (detect identical cells). Optimizing module memdemo. Optimizing module example. 5.2. Executing OPT_MERGE pass (detect identical cells). 2.3. Executing FUTURE pass. Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \splice_demo.. Removed 0 unused cells and 2 unused wires. Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). 2.7. Executing CHECK pass (checking for obvious problems). Finding identical cells in module `\test'. Removed a total of 1 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Checking module splice_demo... Found and reported 0 problems. 2.8. Executing OPT pass (performing simple optimizations). 2.8.1. Executing OPT_EXPR pass (perform const folding). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Removed 0 unused cells and 1 unused wires. 5. Generating Graphviz representation of design. Writing dot description to `proc_01.dot'. Dumping module test to page 1. Optimizing cells in module \test. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). 5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Finding unused cells or wires in module \counter.. Removed 2 unused cells and 5 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Removed 0 unused cells and 18 unused wires. 4. Executing SPLITNETS pass (splitting up multi-bit signals). 5. Generating Graphviz representation of design. End of script. Logfile hash: 4fe5064e83, CPU: user 0.01s system 0.01s, MEM: 10.65 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 33% 1x opt_expr (0 sec), 26% 1x clean (0 sec), ... 5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\example'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \example.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 2/2 on $mux $ternary$example.v:5$3. Removed 1 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing cells in module \example. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Parsing Verilog input from `red_or3x1_cells.v' to AST representation. Generating RTLIL representation for module `\OR3X1'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Writing dot description to `macc_simple_test_00b.dot'. Dumping module test to page 1. 2.4. Executing OPT_EXPR pass (perform const folding). 6. Executing Verilog-2005 frontend: macc_simple_test_01.v Optimizing module counter. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \counter.. Removed 2 unused cells and 5 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `macc_simple_test_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 7. Executing HIERARCHY pass (managing design hierarchy). 7.1. Analyzing design hierarchy.. Top module: \test 7.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Optimizing module splice_demo. Finding identical cells in module `\example'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). ../../../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format dot' 2.8.2. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \counter.. Removed 2 unused cells and 5 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Writing dot description to `red_or3x1.dot'. Dumping module test to page 1. Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). End of script. Logfile hash: d6e7304939, CPU: user 0.01s system 0.01s, MEM: 10.40 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 40% 1x techmap (0 sec), 22% 1x clean (0 sec), ... Finding unused cells or wires in module \counter.. Removed 2 unused cells and 5 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \test.. Removed 0 unused cells and 1 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\splice_demo'. Removed a total of 0 cells. 2.8.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Optimizing module counter. Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). 5.9. Rerunning OPT passes. (Maybe there is more to do..) Running muxtree optimizer on module \splice_demo.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 2.8.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \splice_demo. Performed a total of 0 changes. 2.8.5. Executing OPT_MERGE pass (detect identical cells). Optimizing cells in module \counter. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Optimizing module memdemo. Removed 0 unused cells and 1 unused wires. 8. Generating Graphviz representation of design. 4.1. Executing OPT_CLEAN pass (remove unused cells and wires). 8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module counter. Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 8.2. Continuing show pass. Finding unused cells or wires in module \counter.. 5.15. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) Optimizing cells in module \counter. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). ../../../../yosys sym_mul_test.ys 4.1. Executing OPT_CLEAN pass (remove unused cells and wires). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\splice_demo'. Removed a total of 0 cells. 2.8.6. Executing OPT_CLEAN pass (remove unused cells and wires). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\test'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). Finding unused cells or wires in module \scrambler.. 4.2. Continuing SUBMOD pass. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `opt_expr.ys' -- 1. Executing Verilog-2005 frontend: < 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Finding unused cells or wires in module \counter.. 5.15. Executing OPT_EXPR pass (perform const folding). 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing module counter. Optimizing cells in module \counter. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). 5.16. Finished OPT passes. (There is nothing left to do.) Parsing Verilog input from `< 2.6. Executing CHECK pass (checking for obvious problems). Writing dot description to `scrambler_p02.dot'. Dumping module xorshift32 to page 1. 6.6. Executing OPT_CLEAN pass (remove unused cells and wires). 5. Generating Graphviz representation of design. Performed a total of 0 transformations. 6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). echo on yosys> cd xorshift32 yosys [xorshift32]> rename n2 in Renaming wire n2 to in in module xorshift32. yosys [xorshift32]> rename n1 out Renaming wire n1 to out in module xorshift32. yosys [xorshift32]> eval -set in 1 -show out 7. Executing EVAL pass (evaluate the circuit given an input). 6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). Finding unused cells or wires in module \counter.. 6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). 5.15. Executing OPT_EXPR pass (perform const folding). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module macc_16_16_32. 9.3. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module example. Optimizing module splice_demo. 5.9. Rerunning OPT passes. (Maybe there is more to do..) Eval result: \out = 270369. yosys [xorshift32]> eval -set in 270369 -show out 8. Executing EVAL pass (evaluate the circuit given an input). 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 2.8.8. Finished OPT passes. (There is nothing left to do.) Running muxtree optimizer on module \example.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 2.9. Executing WREDUCE pass (reducing word size of cells). Optimizing cells in module \example. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). 2.10. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). Eval result: \out = 67634689. yosys [xorshift32]> sat -set out 632435482 9. Executing SAT pass (solving SAT problems in the circuit). 6.6. Executing OPT_CLEAN pass (remove unused cells and wires). 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). Finding unused cells or wires in module \counter.. 2.7.1. Executing OPT_EXPR pass (perform const folding). 6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Writing dot description to `scrambler_p01.dot'. Dumping module scrambler to page 1. Optimizing module after. 6. Generating Graphviz representation of design. Finding unused cells or wires in module \counter.. Finding unused cells or wires in module \counter.. 5.15. Executing OPT_EXPR pass (perform const folding). 6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Finding identical cells in module `\example'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module test. 2.11.2. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 9.4. Creating graphs for SubCircuit library. Finding unused cells or wires in module \splice_demo.. 2.11. Executing MEMORY_COLLECT pass (generating $mem cells). 6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 6.9. Executing OPT_CLEAN pass (remove unused cells and wires). 2.12. Executing OPT pass (performing simple optimizations). 2.12.1. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\test'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format dot' -- -- Executing script file `proc_02.ys' -- 1. Executing Verilog-2005 frontend: proc_02.v 6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 6.9. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module counter. 5.16. Finished OPT passes. (There is nothing left to do.) 6. Executing MEMORY pass. 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). 6.1. Executing OPT_MEM pass (optimize memories). Writing dot description to `scrambler_p02.dot'. Dumping module xorshift32 to page 1. Performed a total of 0 transformations. 6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 9.5. Running solver from SubCircuit library. Parsing Verilog input from `proc_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Optimizing module memdemo. Setting up SAT problem: Import set-constraint: \out = 632435482 Final constraint equation: \out = 632435482 Imported 3 cells to SAT database. Solving problem with 665 variables and 1735 clauses.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). 2.7.2. Executing OPT_MERGE pass (detect identical cells). Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 0 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). Finding unused cells or wires in module \test.. echo on yosys> cd xorshift32 yosys [xorshift32]> rename n2 in Renaming wire n2 to in in module xorshift32. yosys [xorshift32]> rename n1 out Renaming wire n1 to out in module xorshift32. yosys [xorshift32]> eval -set in 1 -show out 7. Executing EVAL pass (evaluate the circuit given an input). Found async reset \R in `\test.$proc$proc_02.v:3$1'. 3.6. Executing PROC_ROM pass (convert switches to ROMs). 2.11.4. Finished fast OPT passes. Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 9.6. Substitute SubCircuits with cells. 2.12. Printing statistics. Creating decoders for process `\test.$proc$proc_02.v:3$1'. 1/1: $0\Q[0:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). === test === Number of wires: 9 Number of wire bits: 114 Number of public wires: 8 Number of public wire bits: 98 Number of ports: 4 Number of port bits: 34 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 6 $add 1 $dff 2 $mux 1 $sub 1 $xor 1 2.13. Executing CHECK pass (checking for obvious problems). Finding unused cells or wires in module \counter.. 6.10. Executing MEMORY_COLLECT pass (generating $mem cells). Finding unused cells or wires in module \counter.. 6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 6.10. Executing MEMORY_COLLECT pass (generating $mem cells). 6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$19 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Removed 0 unused cells and 4 unused wires. 3. Generating Graphviz representation of design. Eval result: \out = 270369. yosys [xorshift32]> eval -set in 270369 -show out 8. Executing EVAL pass (evaluate the circuit given an input). Optimizing module splice_demo. Performed a total of 0 transformations. 6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). 6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Optimizing module counter. 2.12.2. Executing OPT_MERGE pass (detect identical cells). Checking module test... Found and reported 0 problems. Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. Warning: Async reset value `\RV' is not constant! 5.16. Finished OPT passes. (There is nothing left to do.) 6. Executing MEMORY pass. 6.1. Executing OPT_MEM pass (optimize memories). created $aldff cell `$procdff$4' with positive edge clock and positive level non-const reset. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Performed a total of 0 transformations. 6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Removing empty process `test.$proc$proc_02.v:3$1'. Cleaned up 0 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \example.. 5.15. Executing OPT_EXPR pass (perform const folding). Eval result: \out = 67634689. yosys [xorshift32]> sat -set out 632435482 9. Executing SAT pass (solving SAT problems in the circuit). SAT solving finished - model found: Signal Name Dec Hex Bin --------------- ----------- --------- ----------------------------------- \in 745495504 2c6f5bd0 00101100011011110101101111010000 \out 632435482 25b2331a 00100101101100100011001100011010 End of script. Logfile hash: 759d6d2a7e, CPU: user 0.03s system 0.00s, MEM: 12.57 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 25% 1x submod (0 sec), 17% 1x sat (0 sec), ... Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 6.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\splice_demo'. Removed a total of 0 cells. 2.12.3. Executing OPT_CLEAN pass (remove unused cells and wires). Writing dot description to `opt_expr_full.dot'. Dumping module after to page 1. Dumping module uut to page 2. Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). Performed a total of 0 transformations. 6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). 6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). End of script. Logfile hash: 1d1df32b64, CPU: user 0.01s system 0.00s, MEM: 9.65 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 45% 1x opt_expr (0 sec), 29% 1x clean (0 sec), ... Removed 0 unused cells and 1 unused wires. 10. Generating Graphviz representation of design. 10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v 3. Generating Graphviz representation of design. Optimizing module counter. Optimizing module counter. 7.2. Executing OPT_MERGE pass (detect identical cells). 7.2. Executing OPT_MERGE pass (detect identical cells). Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 10.2. Continuing show pass. Optimizing module test. 6.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Finding unused cells or wires in module \counter.. Writing dot description to `select.dot'. Dumping module test to page 1. Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing cells in module \counter. Performed a total of 0 changes. 7.5. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \splice_demo.. 2.12.4. Finished fast OPT passes. 2.13. Printing statistics. === splice_demo === Number of wires: 8 Number of wire bits: 26 Number of public wires: 8 Number of public wire bits: 26 Number of ports: 8 Number of port bits: 26 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 2 $neg 1 $not 1 2.14. Executing CHECK pass (checking for obvious problems). End of script. Logfile hash: f11d6793ac, CPU: user 0.02s system 0.01s, MEM: 10.93 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 29% 6x opt_expr (0 sec), 27% 5x opt_clean (0 sec), ... gvpack -u -o opt_expr.dot opt_expr_full.dot Checking module splice_demo... Found and reported 0 problems. Optimizing module example. ../../../../yosys memdemo.ys 3. Generating Graphviz representation of design. Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 5.16. Finished OPT passes. (There is nothing left to do.) Optimizing cells in module \counter. Performed a total of 0 changes. 7.5. Executing OPT_MERGE pass (detect identical cells). 6. Generating Graphviz representation of design. Writing dot description to `macc_simple_test_01b.dot'. Dumping module test to page 1. Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.6. Executing OPT_DFF pass (perform DFF optimizations). 11. Executing Verilog-2005 frontend: macc_simple_test_02.v 6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 6.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Parsing Verilog input from `macc_simple_test_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 12. Executing HIERARCHY pass (managing design hierarchy). 12.1. Analyzing design hierarchy.. Setting up SAT problem: Import set-constraint: \out = 632435482 Final constraint equation: \out = 632435482 Imported 3 cells to SAT database. Solving problem with 665 variables and 1735 clauses.. Top module: \test 12.2. Analyzing design hierarchy.. cd internals && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex approach_flow.tex --interaction=nonstopmode Top module: \test Removed 0 unused modules. Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.6. Executing OPT_DFF pass (perform DFF optimizations). Removed 0 unused cells and 2 unused wires. 4. Generating Graphviz representation of design. 7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Writing dot description to `splice.dot'. Dumping module splice_demo to page 1. Writing dot description to `example_third.dot'. Dumping module example to page 1. End of script. Logfile hash: 1968fcbfd1, CPU: user 0.02s system 0.00s, MEM: 10.70 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 37% 4x opt_expr (0 sec), 15% 3x show (0 sec), ... End of script. Logfile hash: 2eeb6955f1, CPU: user 0.03s system 0.00s, MEM: 10.49 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 36% 5x opt_expr (0 sec), 27% 4x opt_clean (0 sec), ... /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `sym_mul_test.ys' -- 1. Executing Verilog-2005 frontend: sym_mul_test.v Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). 6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 6.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.10. Executing MEMORY_COLLECT pass (generating $mem cells). 6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). Writing dot description to `proc_02.dot'. Dumping module test to page 1. 7.7. Executing OPT_CLEAN pass (remove unused cells and wires). 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \counter.. 7.8. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `sym_mul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Warnings: 1 unique messages, 1 total End of script. Logfile hash: c4b4f83334, CPU: user 0.01s system 0.00s, MEM: 10.46 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 30% 1x opt_expr (0 sec), 24% 1x clean (0 sec), ... Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). SAT solving finished - model found: Signal Name Dec Hex Bin --------------- ----------- --------- ----------------------------------- \in 745495504 2c6f5bd0 00101100011011110101101111010000 \out 632435482 25b2331a 00100101101100100011001100011010 End of script. Logfile hash: 759d6d2a7e, CPU: user 0.03s system 0.00s, MEM: 12.57 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 23% 1x submod (0 sec), 19% 1x sat (0 sec), ... cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_abstractions.tex --interaction=nonstopmode ../../../../yosys example.ys 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Finding unused cells or wires in module \counter.. 6.10. Executing MEMORY_COLLECT pass (generating $mem cells). 6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. Finding unused cells or wires in module \counter.. Removed 0 unused cells and 1 unused wires. 13. Generating Graphviz representation of design. 7.8. Executing OPT_EXPR pass (perform const folding). 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v ../../../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format dot' Warning: node n1 in graph[1] uut already defined Some nodes will be renamed. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Warning: node n2 in graph[1] uut already defined Some nodes will be renamed. Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 13.2. Continuing show pass. Warning: node n4 in graph[1] uut already defined Some nodes will be renamed. Optimizing module counter. 7.9. Finished OPT passes. (There is nothing left to do.) 8. Executing FSM pass (extract and optimize FSM). 8.1. Executing FSM_DETECT pass (finding FSMs in design). Warning: node n1 in graph[1] uut already defined Some nodes will be renamed. Optimizing module counter. 7.2. Executing OPT_MERGE pass (detect identical cells). Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/scrambler' Writing dot description to `macc_simple_test_02a.dot'. Dumping module test to page 1. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). 14. Executing EXTRACT pass (map subcircuits to cells). No more expansions possible. 14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/opt' cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_ast.tex --interaction=nonstopmode Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 14.2. Executing PROC pass (convert processes to netlists). 14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Removed a total of 0 dead cases. 14.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Removed 0 redundant assignments. Promoted 0 assignments to connections. 14.2.4. Executing PROC_INIT pass (extract init attributes). 14.2.5. Executing PROC_ARST pass (detect async resets in processes). Optimizing cells in module \counter. Performed a total of 0 changes. 7.5. Executing OPT_MERGE pass (detect identical cells). 14.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 14.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 14.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). ../../../../yosys submod.ys ../../../../yosys mymul_test.ys Optimizing module counter. Optimizing module counter. 7.2. Executing OPT_MERGE pass (detect identical cells). 7.9. Finished OPT passes. (There is nothing left to do.) 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8. Executing FSM pass (extract and optimize FSM). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). 8.1. Executing FSM_DETECT pass (finding FSMs in design). 14.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 14.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.2.12. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.6. Executing OPT_DFF pass (perform DFF optimizations). 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Finding unused cells or wires in module \memdemo.. Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 2.7.13. Executing OPT_EXPR pass (perform const folding). Optimizing cells in module \counter. Performed a total of 0 changes. 7.5. Executing OPT_MERGE pass (detect identical cells). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `memdemo.ys' -- 1. Executing Verilog-2005 frontend: memdemo.v Finding unused cells or wires in module \counter.. 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). Removed 0 unused cells and 6 unused wires. 4. Generating Graphviz representation of design. 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v 7.7. Executing OPT_CLEAN pass (remove unused cells and wires). 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.6. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module macc_16_16_32. Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. 14.3. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. 2.7.14. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). 7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 7.8. Executing OPT_EXPR pass (perform const folding). Writing dot description to `sym_mul.dot'. Dumping module test to page 1. Finding unused cells or wires in module \counter.. Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). End of script. Logfile hash: 28982f840f, CPU: user 0.01s system 0.00s, MEM: 10.65 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 32% 1x clean (0 sec), 26% 4x read_verilog (0 sec), ... Optimizing module counter. 9.2. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 14.4. Creating graphs for SubCircuit library. Finding unused cells or wires in module \counter.. 7.8. Executing OPT_EXPR pass (perform const folding). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `example.ys' -- 1. Executing Verilog-2005 frontend: example.v Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. Top module: \memdemo 2.1.2. Analyzing design hierarchy.. Top module: \memdemo Removed 0 unused modules. Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 2.2. Executing PROC pass (convert processes to netlists). Optimizing cells in module \counter. Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 14 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Finding unused cells or wires in module \memdemo.. Parsing Verilog input from `example.v' to AST representation. Generating RTLIL representation for module `\example'. Successfully finished Verilog frontend. 2. Generating Graphviz representation of design. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). Optimizing module counter. ../../../../yosys submod.ys 7.9. Finished OPT passes. (There is nothing left to do.) 8. Executing FSM pass (extract and optimize FSM). 8.1. Executing FSM_DETECT pass (finding FSMs in design). 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Optimizing module counter. 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). 9.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_DFF pass (perform DFF optimizations). Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 14.5. Running solver from SubCircuit library. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `mymul_test.ys' -- 1. Executing Verilog-2005 frontend: mymul_test.v Writing dot description to `example_first.dot'. Dumping module example to page 1. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format dot' -- -- Executing script file `proc_03.ys' -- 1. Executing Verilog-2005 frontend: proc_03.v 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). Solving for needle_macc_16_16_32 in haystack_test. Found 2 matches. 14.6. Substitute SubCircuits with cells. 2.1. Analyzing design hierarchy.. Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$36' with positive edge clock. Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$37' with positive edge clock. Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$38' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$39' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$40' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$41' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$42' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$43' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$44' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$45' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$46' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$47' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$48' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$49' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Creating decoders for process `\example.$proc$example.v:3$1'. 1/1: $0\y[1:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3. Executing TECHMAP pass (map to technology primitives). 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Parsing Verilog input from `proc_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$30 Match #1: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$31 Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Optimizing cells in module \counter. Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). 9.7. Executing OPT_CLEAN pass (remove unused cells and wires). 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). 7.9. Finished OPT passes. (There is nothing left to do.) 8. Executing FSM pass (extract and optimize FSM). Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). 8.1. Executing FSM_DETECT pass (finding FSMs in design). Removed 0 redundant assignments. Promoted 0 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). Creating decoders for process `\test.$proc$proc_03.v:3$1'. 1/1: $0\Y[0:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). Creating register for signal `\example.\y' using process `\example.$proc$example.v:3$1'. created $dff cell `$procdff$6' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\example.$proc$example.v:3$1'. Removing empty process `example.$proc$example.v:3$1'. Cleaned up 1 empty switch. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mymul_map.v 2.11.2. Executing OPT_MERGE pass (detect identical cells). No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. 3.9. Executing PROC_DFF pass (convert process syncs to FFs). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `submod.ys' -- 1. Executing Verilog-2005 frontend: memdemo.v Finding unused cells or wires in module \counter.. 9.8. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_DFF pass (perform DFF optimizations). 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. Removing empty process `test.$proc$proc_03.v:3$1'. Cleaned up 2 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `mymul_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. Finding unused cells or wires in module \counter.. 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). Removed 0 unused cells and 2 unused wires. 15. Generating Graphviz representation of design. 15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Optimizing module memdemo. Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 15.2. Continuing show pass. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. 2.3. Executing FUTURE pass. 9.7. Executing OPT_CLEAN pass (remove unused cells and wires). 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module example. 4. Generating Graphviz representation of design. Optimizing module test. Writing dot description to `macc_simple_test_02b.dot'. Dumping module test to page 1. cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_flow.tex --interaction=nonstopmode 16. Executing Verilog-2005 frontend: macc_simple_xmap.v Writing dot description to `example_second.dot'. Dumping module example to page 1. Optimizing module counter. 5. Executing OPT pass (performing simple optimizations). Finding unused cells or wires in module \counter.. 9.9. Finished OPT passes. (There is nothing left to do.) 5.1. Executing OPT_EXPR pass (perform const folding). 9.8. Executing OPT_EXPR pass (perform const folding). 10. Generating Graphviz representation of design. Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 17. Executing HIERARCHY pass (managing design hierarchy). 17.1. Analyzing design hierarchy.. Top module: \macc_16_16_32 17.2. Analyzing design hierarchy.. Top module: \macc_16_16_32 Removed 0 unused modules. Finding unused cells or wires in module \counter.. Finding unused cells or wires in module \memdemo.. 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). Optimizing module counter. 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). 2.11.4. Finished fast OPT passes. 2.4. Executing OPT_EXPR pass (perform const folding). 9.2. Executing OPT_MERGE pass (detect identical cells). 2.12. Printing statistics. === memdemo === Number of wires: 18 Number of wire bits: 58 Number of public wires: 5 Number of public wire bits: 13 Number of ports: 3 Number of port bits: 9 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 11 $add 4 $dff 3 $mem_v2 1 $mux 1 $reduce_bool 1 $xor 1 2.13. Executing CHECK pass (checking for obvious problems). Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. Checking module memdemo... Found and reported 0 problems. Top module: \memdemo 2.1.2. Analyzing design hierarchy.. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). Top module: \memdemo Removed 0 unused modules. Writing dot description to `counter_01.dot'. Dumping module counter to page 1. Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). 11. Executing TECHMAP pass (map to technology primitives). 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). Removed 0 redundant assignments. Promoted 14 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). Removed 0 unused cells and 4 unused wires. 4. Generating Graphviz representation of design. 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Optimizing module example. 5.2. Executing OPT_MERGE pass (detect identical cells). Removed 0 unused cells and 1 unused wires. 18. Generating Graphviz representation of design. Optimizing module memdemo. 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Optimizing module counter. 9.9. Finished OPT passes. (There is nothing left to do.) 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). 10. Generating Graphviz representation of design. Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_DFF pass (perform DFF optimizations). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `submod.ys' -- 1. Executing Verilog-2005 frontend: memdemo.v Writing dot description to `proc_03.dot'. Dumping module test to page 1. Optimizing module counter. Finding identical cells in module `\example'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \example.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 2/2 on $mux $ternary$example.v:5$3. Removed 1 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 9.2. Executing OPT_MERGE pass (detect identical cells). Optimizing cells in module \example. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). End of script. Logfile hash: 5a538b5f7f, CPU: user 0.01s system 0.01s, MEM: 10.54 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 27% 1x clean (0 sec), 25% 1x opt_expr (0 sec), ... Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$36' with positive edge clock. Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$37' with positive edge clock. Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$38' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$39' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$40' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$41' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$42' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$43' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$44' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$45' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$46' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$47' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$48' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$49' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Writing dot description to `macc_simple_xmap.dot'. Dumping module macc_16_16_32 to page 1. Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\example'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). End of script. Logfile hash: a39cb7f441, CPU: user 0.04s system 0.00s, MEM: 9.91 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 28% 7x clean (0 sec), 18% 7x show (0 sec), ... Writing dot description to `counter_01.dot'. Dumping module counter to page 1. 9.7. Executing OPT_CLEAN pass (remove unused cells and wires). 11. Executing TECHMAP pass (map to technology primitives). 11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). Adding EN signal on $procdff$6 ($dff) from module example (D = $ternary$example.v:5$3_Y, Q = \y). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. Analyzing memdemo.mem write port 3. Analyzing memdemo.mem write port 4. 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). ../../../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format dot' Finding unused cells or wires in module \counter.. Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_DFF pass (perform DFF optimizations). 9.8. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex levels_of_abstraction.tex --interaction=nonstopmode 2.3. Executing FUTURE pass. Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. No more expansions possible. ../../../../yosys macc_xilinx_test.ys Finding unused cells or wires in module \example.. Removed 1 unused cells and 4 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. Top module: \memdemo 2.1.2. Analyzing design hierarchy.. 9.7. Executing OPT_CLEAN pass (remove unused cells and wires). Top module: \memdemo Removed 0 unused modules. 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 14 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. Write port 2: non-transparent. Write port 3: non-transparent. Write port 4: non-transparent. Checking read port `\mem'[1] in module `\memdemo': no output FF found. Checking read port `\mem'[2] in module `\memdemo': no output FF found. Checking read port `\mem'[3] in module `\memdemo': no output FF found. Checking read port `\mem'[4] in module `\memdemo': no output FF found. Checking read port address `\mem'[1] in module `\memdemo': no address FF found. Checking read port address `\mem'[2] in module `\memdemo': no address FF found. Checking read port address `\mem'[3] in module `\memdemo': no address FF found. Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). 2.4. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.9. Finished OPT passes. (There is nothing left to do.) 10. Generating Graphviz representation of design. Finding unused cells or wires in module \counter.. 9.8. Executing OPT_EXPR pass (perform const folding). Removed 0 unused cells and 10 unused wires. Renaming module \test to \test_mapped. 4. Executing Verilog-2005 frontend: mymul_test.v Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$36' with positive edge clock. Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$37' with positive edge clock. Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$38' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$39' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$40' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$41' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$42' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$43' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$44' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$45' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$46' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$47' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$48' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$49' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Optimizing module example. Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). 5.9. Rerunning OPT passes. (Maybe there is more to do..) Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 5. Executing MITER pass (creating miter circuit). 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \example.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". 6. Executing FLATTEN pass (flatten design). Optimizing cells in module \example. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). 7. Executing SAT pass (solving SAT problems in the circuit). Writing dot description to `counter_01.dot'. Dumping module counter to page 1. 11. Executing TECHMAP pass (map to technology primitives). 11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v Optimizing module memdemo. Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 2.7.2. Executing OPT_MERGE pass (detect identical cells). Optimizing module memdemo. Finding identical cells in module `\example'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. Optimizing module counter. 9.9. Finished OPT passes. (There is nothing left to do.) 10. Generating Graphviz representation of design. Setting up SAT problem: Final constraint equation: { } = { } Imported 9 cells to SAT database. Import proof-constraint: \trigger = 1'0 Final proof equation: \trigger = 1'0 Solving problem with 107 variables and 283 clauses.. 2.3. Executing FUTURE pass. 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 2 (address 2'00). Consolidating read ports of memory memdemo.mem by address: Consolidating write ports of memory memdemo.mem by address: Merging ports 0, 1 (address 2'00). Merging ports 0, 2 (address 2'00). Consolidating write ports of memory memdemo.mem by address: 3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). SAT proof finished - no model found: SUCCESS! /$$$$$$ /$$$$$$$$ /$$$$$$$ /$$__ $$ | $$_____/ | $$__ $$ | $$ \ $$ | $$ | $$ \ $$ | $$ | $$ | $$$$$ | $$ | $$ | $$ | $$ | $$__/ | $$ | $$ | $$/$$ $$ | $$ | $$ | $$ | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ \____ $$$|__/|________/|__/|_______/|__/ \__/ 8. Executing SPLITNETS pass (splitting up multi-bit signals). Writing dot description to `counter_01.dot'. Dumping module counter to page 1. 9. Generating Graphviz representation of design. 11. Executing TECHMAP pass (map to technology primitives). 11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v Finding unused cells or wires in module \example.. 5.15. Executing OPT_EXPR pass (perform const folding). 2.4. Executing OPT_EXPR pass (perform const folding). cd primer && TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' pdflatex basics_parsetree.tex --interaction=nonstopmode Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Writing dot description to `mymul.dot'. Dumping module test_mapped to page 1. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 2.6. Executing CHECK pass (checking for obvious problems). End of script. Logfile hash: 449524bce2, CPU: user 0.02s system 0.00s, MEM: 12.95 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 24% 2x clean (0 sec), 17% 1x techmap (0 sec), ... /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format dot' -- -- Executing script file `memory_01.ys' -- 1. Executing Verilog-2005 frontend: memory_01.v Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] read interface: 1 $dff and 3 $mux cells. write interface: 12 write mux blocks. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. Optimizing module example. 5.16. Finished OPT passes. (There is nothing left to do.) 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). 6. Generating Graphviz representation of design. Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `memory_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). ../../../../yosys mulshift_test.ys Removed 0 redundant assignments. Promoted 4 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$memory_01.v:5$2'. 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). Writing dot description to `example_third.dot'. Dumping module example to page 1. 3.9. Executing PROC_DFF pass (convert process syncs to FFs). End of script. Logfile hash: 1968fcbfd1, CPU: user 0.02s system 0.01s, MEM: 10.68 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 39% 4x opt_expr (0 sec), 16% 3x show (0 sec), ... /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `macc_xilinx_test.ys' -- 1. Executing Verilog-2005 frontend: macc_xilinx_test.v Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$7' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$9' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$10' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_01.v:5$2'. Cleaned up 0 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. Optimizing module memdemo. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) 2.7.2. Executing OPT_MERGE pass (detect identical cells). 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). ../../../../yosys cmos.ys Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). Optimizing module test. Optimizing module memdemo. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). 4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). Removed 3 unused cells and 7 unused wires. 4. Executing MEMORY pass. 4.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Parsing Verilog input from `macc_xilinx_test.v' to AST representation. Generating RTLIL representation for module `\test1'. Generating RTLIL representation for module `\test2'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). Performed a total of 0 transformations. 4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Analyzing test.mem write port 0. 4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Optimizing module memdemo. 2.7.2. Executing OPT_MERGE pass (detect identical cells). Checking read port `\mem'[0] in module `\test': merging output FF to cell. Write port 0: non-transparent. 4.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module memdemo. 2.7.14. Finished OPT passes. (There is nothing left to do.) Finding unused cells or wires in module \test.. Removed 1 unused cells and 9 unused wires. 4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 2.8. Executing WREDUCE pass (reducing word size of cells). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `mulshift_test.ys' -- 1. Executing Verilog-2005 frontend: mulshift_test.v Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 4.9. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `$__mul_wrapper'. Generating RTLIL representation for module `$__add_wrapper'. Successfully finished Verilog frontend. 3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Parsing Verilog input from `mulshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). Finding unused cells or wires in module \test.. 4.10. Executing MEMORY_COLLECT pass (generating $mem cells). 4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Mapping memory \mem in module \test: created 2 $dff cells and 0 static cells of width 8. Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] read interface: 1 $dff and 1 $mux cells. write interface: 2 write mux blocks. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. Finding unused cells or wires in module \memdemo.. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mulshift_map.v 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 4. Executing HIERARCHY pass (managing design hierarchy). Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 11.2. Continuing TECHMAP pass. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `cmos.ys' -- 1. Executing Verilog-2005 frontend: cmos.v Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Parsing Verilog input from `cmos.v' to AST representation. Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. Top module: \cmos_demo 2.1.2. Analyzing design hierarchy.. Top module: \cmos_demo Removed 0 unused modules. 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 11.2. Continuing TECHMAP pass. Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. Parsing Verilog input from `mulshift_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). 4.8. Executing OPT_EXPR pass (perform const folding). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using extmapper simplemap for cells of type $sdffe. Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Optimizing module test. 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 5.2. Executing OPT_MERGE pass (detect identical cells). 2.7.7. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 2.11.2. Executing OPT_MERGE pass (detect identical cells). 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using extmapper simplemap for cells of type $sdffe. 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $and. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $and. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module cmos_demo. 2.3. Executing FUTURE pass. Optimizing module memdemo. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \memdemo.. Finding unused cells or wires in module \test.. Removed 1 unused cells and 6 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. === memdemo === Number of wires: 18 Number of wire bits: 58 Number of public wires: 5 Number of public wire bits: 13 Number of ports: 3 Number of port bits: 9 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 11 $add 4 $dff 3 $mem_v2 1 $mux 1 $reduce_bool 1 $xor 1 2.13. Executing CHECK pass (checking for obvious problems). 2.4. Executing OPT_EXPR pass (perform const folding). 2.7.14. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). Checking module memdemo... Found and reported 0 problems. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 11.2. Continuing TECHMAP pass. Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Optimizing module cmos_demo. Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using extmapper simplemap for cells of type $sdffe. 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. Analyzing memdemo.mem write port 3. Analyzing memdemo.mem write port 4. 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Optimizing module memdemo. Finding unused cells or wires in module \test.. Removed 2 unused cells and 2 unused wires. 5.15. Executing OPT_EXPR pass (perform const folding). 2.7.14. Finished OPT passes. (There is nothing left to do.) Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $and. 2.8. Executing WREDUCE pass (reducing word size of cells). Finding unused cells or wires in module \cmos_demo.. Removed 0 unused cells and 1 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). Checking module cmos_demo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Rerunning OPT passes. (Maybe there is more to do..) 5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Optimizing module memdemo. Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.19. Executing OPT_MERGE pass (detect identical cells). 2.11.2. Executing OPT_MERGE pass (detect identical cells). Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 11.2. Continuing TECHMAP pass. Finding identical cells in module `\test'. Removed a total of 0 cells. 5.20. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module cmos_demo. 2.7.2. Executing OPT_MERGE pass (detect identical cells). Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Finding unused cells or wires in module \memdemo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. Write port 2: non-transparent. Write port 3: non-transparent. Write port 4: non-transparent. Checking read port `\mem'[1] in module `\memdemo': no output FF found. Checking read port `\mem'[2] in module `\memdemo': no output FF found. Checking read port `\mem'[3] in module `\memdemo': no output FF found. Checking read port `\mem'[4] in module `\memdemo': no output FF found. Checking read port address `\mem'[1] in module `\memdemo': no address FF found. Checking read port address `\mem'[2] in module `\memdemo': no address FF found. Checking read port address `\mem'[3] in module `\memdemo': no address FF found. Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). 5.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using extmapper simplemap for cells of type $sdffe. 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). Removed 0 unused cells and 2 unused wires. 5. Generating Graphviz representation of design. Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \cmos_demo.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \cmos_demo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \test.. 5.22. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 4.16. Finished OPT passes. (There is nothing left to do.) Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 5. Generating Graphviz representation of design. 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $and. Optimizing module memdemo. Writing dot description to `macc_xilinx_test1a.dot'. Dumping module test1 to page 1. 2.11.4. Finished fast OPT passes. 2.11.2. Executing OPT_MERGE pass (detect identical cells). 2.12. Printing statistics. 6. Generating Graphviz representation of design. Optimizing module test. === memdemo === Number of wires: 18 Number of wire bits: 58 Number of public wires: 5 Number of public wire bits: 13 Number of ports: 3 Number of port bits: 9 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 11 $add 4 $dff 3 $mem_v2 1 $mux 1 $reduce_bool 1 $xor 1 2.13. Executing CHECK pass (checking for obvious problems). 5.23. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Checking module memdemo... Found and reported 0 problems. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Writing dot description to `memory_01.dot'. Dumping module test to page 1. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). End of script. Logfile hash: 3f34ade637, CPU: user 0.03s system 0.00s, MEM: 11.98 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 31% 5x opt_expr (0 sec), 18% 5x opt_clean (0 sec), ... Finding unused cells or wires in module \cmos_demo.. 2.7.7. Executing OPT_EXPR pass (perform const folding). Writing dot description to `macc_xilinx_test2a.dot'. Dumping module test2 to page 1. Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 2 (address 2'00). Consolidating read ports of memory memdemo.mem by address: Consolidating write ports of memory memdemo.mem by address: Merging ports 0, 1 (address 2'00). Merging ports 0, 2 (address 2'00). Consolidating write ports of memory memdemo.mem by address: 3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). 7. Executing TECHMAP pass (map to technology primitives). Writing dot description to `memdemo_00.dot'. Dumping module memdemo to page 1. 7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v 6. Generating Graphviz representation of design. ../../../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format dot' Finding unused cells or wires in module \memdemo.. 2.11.4. Finished fast OPT passes. Optimizing module cmos_demo. 2.12. Printing statistics. 2.7.8. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). === memdemo === Number of wires: 18 Number of wire bits: 58 Number of public wires: 5 Number of public wire bits: 13 Number of ports: 3 Number of port bits: 9 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 11 $add 4 $dff 3 $mem_v2 1 $mux 1 $reduce_bool 1 $xor 1 2.13. Executing CHECK pass (checking for obvious problems). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). Checking module memdemo... Found and reported 0 problems. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. Analyzing memdemo.mem write port 3. Analyzing memdemo.mem write port 4. 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 7.2. Continuing TECHMAP pass. Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] read interface: 1 $dff and 3 $mux cells. write interface: 12 write mux blocks. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Writing dot description to `memdemo_01.dot'. Dumping selected parts of module memdemo to page 1. 7. Generating Graphviz representation of design. Finding unused cells or wires in module \cmos_demo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul. Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Optimizing module cmos_demo. Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. Write port 2: non-transparent. Write port 3: non-transparent. Write port 4: non-transparent. Checking read port `\mem'[1] in module `\memdemo': no output FF found. Checking read port `\mem'[2] in module `\memdemo': no output FF found. Checking read port `\mem'[3] in module `\memdemo': no output FF found. Checking read port `\mem'[4] in module `\memdemo': no output FF found. Checking read port address `\mem'[1] in module `\memdemo': no address FF found. Checking read port address `\mem'[2] in module `\memdemo': no address FF found. Checking read port address `\mem'[3] in module `\memdemo': no address FF found. Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). 2.11.2. Executing OPT_MERGE pass (detect identical cells). Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. Analyzing memdemo.mem write port 3. Analyzing memdemo.mem write port 4. 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Writing dot description to `memdemo_02.dot'. Dumping selected parts of module memdemo to page 1. 8. Generating Graphviz representation of design. Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). No more expansions possible. Optimizing module memdemo. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Finding unused cells or wires in module \cmos_demo.. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. === cmos_demo === Number of wires: 3 Number of wire bits: 4 Number of public wires: 3 Number of public wire bits: 4 Number of ports: 3 Number of port bits: 4 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 1 $add 1 2.13. Executing CHECK pass (checking for obvious problems). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format dot' -- -- Executing script file `memory_02.ys' -- 1. Executing Verilog-2005 frontend: memory_02.v Checking module cmos_demo... Found and reported 0 problems. Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. No more expansions possible. 3. Executing TECHMAP pass (map to technology primitives). Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. Write port 2: non-transparent. Write port 3: non-transparent. Write port 4: non-transparent. Checking read port `\mem'[1] in module `\memdemo': no output FF found. Checking read port `\mem'[2] in module `\memdemo': no output FF found. Checking read port `\mem'[3] in module `\memdemo': no output FF found. Checking read port `\mem'[4] in module `\memdemo': no output FF found. Checking read port address `\mem'[1] in module `\memdemo': no address FF found. Checking read port address `\mem'[2] in module `\memdemo': no address FF found. Checking read port address `\mem'[3] in module `\memdemo': no address FF found. Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $or. No more expansions possible. 3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v 12. Executing OPT pass (performing simple optimizations). 12.1. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Writing dot description to `memdemo_03.dot'. Dumping selected parts of module memdemo to page 1. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $or. No more expansions possible. 12. Executing OPT pass (performing simple optimizations). 12.1. Executing OPT_EXPR pass (perform const folding). 9. Generating Graphviz representation of design. Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 2 (address 2'00). Consolidating read ports of memory memdemo.mem by address: Consolidating write ports of memory memdemo.mem by address: Merging ports 0, 1 (address 2'00). Merging ports 0, 2 (address 2'00). Consolidating write ports of memory memdemo.mem by address: 3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). Parsing Verilog input from `memory_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 8 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. 3.6. Executing PROC_ROM pass (convert switches to ROMs). 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). Removed 0 unused cells and 16 unused wires. 4. Generating Graphviz representation of design. Creating decoders for process `\test.$proc$memory_02.v:24$19'. Creating decoders for process `\test.$proc$memory_02.v:21$17'. Creating decoders for process `\test.$proc$memory_02.v:17$10'. 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 Creating decoders for process `\test.$proc$memory_02.v:13$3'. 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. created $dff cell `$procdff$39' with positive edge clock. Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. created $dff cell `$procdff$40' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. created $dff cell `$procdff$41' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. created $dff cell `$procdff$42' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. created $dff cell `$procdff$43' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$44' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$45' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$46' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_02.v:24$19'. Removing empty process `test.$proc$memory_02.v:21$17'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. Removing empty process `test.$proc$memory_02.v:17$10'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. Removing empty process `test.$proc$memory_02.v:13$3'. Cleaned up 2 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 2 (address 2'00). Consolidating read ports of memory memdemo.mem by address: Consolidating write ports of memory memdemo.mem by address: Merging ports 0, 1 (address 2'00). Merging ports 0, 2 (address 2'00). Consolidating write ports of memory memdemo.mem by address: 3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Writing dot description to `memdemo_04.dot'. Dumping selected parts of module memdemo to page 1. Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). 10. Generating Graphviz representation of design. Writing dot description to `mulshift.dot'. Dumping module test to page 1. Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] read interface: 1 $dff and 3 $mux cells. write interface: 12 write mux blocks. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. End of script. Logfile hash: e40f1e9ccc, CPU: user 0.03s system 0.00s, MEM: 11.51 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 31% 3x clean (0 sec), 24% 1x techmap (0 sec), ... Optimizing module test. 12.2. Executing OPT_MERGE pass (detect identical cells). Optimizing module counter. 12.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $or. No more expansions possible. 12. Executing OPT pass (performing simple optimizations). 12.1. Executing OPT_EXPR pass (perform const folding). Optimizing cells in module \counter. Performed a total of 0 changes. 12.5. Executing OPT_MERGE pass (detect identical cells). ../../../../yosys addshift_test.ys Removed 6 unused cells and 26 unused wires. 4. Executing MEMORY pass. 4.1. Executing OPT_MEM pass (optimize memories). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. Performed a total of 0 transformations. 4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] read interface: 1 $dff and 3 $mux cells. write interface: 12 write mux blocks. 4. Executing OPT pass (performing simple optimizations). 4.8. Executing OPT_EXPR pass (perform const folding). 4.1. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.6. Executing OPT_DFF pass (perform DFF optimizations). Writing dot description to `memdemo_05.dot'. Dumping selected parts of module memdemo to page 1. End of script. Logfile hash: ade9f67caf, CPU: user 0.09s system 0.00s, MEM: 13.07 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 23% 9x opt_expr (0 sec), 21% 9x opt_clean (0 sec), ... Performed a total of 0 transformations. 4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing test.memory write port 0. Analyzing test.memory write port 1. 4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.6. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module memdemo. 4.2. Executing OPT_MERGE pass (detect identical cells). 12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing module counter. Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). ../../../../yosys submod.ys 12.2. Executing OPT_MERGE pass (detect identical cells). 12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Checking read port `\memory'[0] in module `\test': merging output FF to cell. Checking read port `\memory'[1] in module `\test': merging output FF to cell. 4.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Removed 0 unused cells and 8 unused wires. 8. Generating Graphviz representation of design. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \counter.. Removed 1 unused cells and 32 unused wires. 12.8. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 4.2. Executing OPT_MERGE pass (detect identical cells). Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $or. No more expansions possible. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). 12. Executing OPT pass (performing simple optimizations). 12.1. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Finding unused cells or wires in module \counter.. Removed 1 unused cells and 32 unused wires. 12.8. Executing OPT_EXPR pass (perform const folding). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Finding unused cells or wires in module \test.. Removed 2 unused cells and 18 unused wires. 4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.6. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module counter. 12.9. Rerunning OPT passes. (Maybe there is more to do..) 12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.12. Executing OPT_MERGE pass (detect identical cells). Optimizing module counter. 12.2. Executing OPT_MERGE pass (detect identical cells). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Writing dot description to `macc_xilinx_test1b.dot'. Dumping module test1 to page 1. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). Consolidating read ports of memory test.memory by address: Consolidating write ports of memory test.memory by address: Consolidating write ports of memory test.memory using sat-based resource sharing: 4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 4.9. Executing OPT_CLEAN pass (remove unused cells and wires). 12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.13. Executing OPT_DFF pass (perform DFF optimizations). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.5. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \memdemo.. 4.15. Executing OPT_EXPR pass (perform const folding). 9. Generating Graphviz representation of design. Optimizing module counter. 12.9. Rerunning OPT passes. (Maybe there is more to do..) 12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.12. Executing OPT_MERGE pass (detect identical cells). 12.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.6. Executing OPT_DFF pass (perform DFF optimizations). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.10. Executing MEMORY_COLLECT pass (generating $mem cells). /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `addshift_test.ys' -- 1. Executing Verilog-2005 frontend: addshift_test.v 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). 12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.13. Executing OPT_DFF pass (perform DFF optimizations). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \counter.. Removed 1 unused cells and 32 unused wires. 12.8. Executing OPT_EXPR pass (perform const folding). Writing dot description to `macc_xilinx_test2b.dot'. Dumping module test2 to page 1. Parsing Verilog input from `addshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). Finding unused cells or wires in module \counter.. 12.15. Executing OPT_EXPR pass (perform const folding). 10. Executing TECHMAP pass (map to technology primitives). 10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v 12.14. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. Finding unused cells or wires in module \counter.. Removed 1 unused cells and 32 unused wires. 12.8. Executing OPT_EXPR pass (perform const folding). 3.1. Executing Verilog-2005 frontend: addshift_map.v 4.16. Finished OPT passes. (There is nothing left to do.) /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `submod.ys' -- 1. Executing Verilog-2005 frontend: memdemo.v Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). 5. Generating Graphviz representation of design. Parsing Verilog input from `addshift_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Optimizing module counter. Optimizing module counter. 12.9. Rerunning OPT passes. (Maybe there is more to do..) 12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 12.9. Rerunning OPT passes. (Maybe there is more to do..) Finding unused cells or wires in module \counter.. 12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Optimizing cells in module \counter. Performed a total of 0 changes. 12.12. Executing OPT_MERGE pass (detect identical cells). 12.15. Executing OPT_EXPR pass (perform const folding). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.12. Executing OPT_MERGE pass (detect identical cells). Optimizing module counter. 12.16. Finished OPT passes. (There is nothing left to do.) 13. Executing SPLITNETS pass (splitting up multi-bit signals). Optimizing module test. Optimizing module memdemo. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.13. Executing OPT_DFF pass (perform DFF optimizations). 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 10.2. Continuing TECHMAP pass. Writing dot description to `memdemo_00.dot'. Dumping module memdemo to page 1. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. No more expansions possible. Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). 6. Generating Graphviz representation of design. Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.13. Executing OPT_DFF pass (perform DFF optimizations). 12.14. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing module memdemo. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Removed 0 unused cells and 2 unused wires. 14. Generating Graphviz representation of design. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Finding unused cells or wires in module \counter.. 12.15. Executing OPT_EXPR pass (perform const folding). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). 12.14. Executing OPT_CLEAN pass (remove unused cells and wires). Optimizing cells in module \test. Consolidated identical input bits for $mux cell $procmux$31: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } Consolidated identical input bits for $mux cell $procmux$22: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } Optimizing cells in module \test. Performed a total of 2 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module counter. Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. 12.16. Finished OPT passes. (There is nothing left to do.) Top module: \memdemo 2.1.2. Analyzing design hierarchy.. 13. Executing SPLITNETS pass (splitting up multi-bit signals). Top module: \memdemo Removed 0 unused modules. 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 14 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). Writing dot description to `counter_02.dot'. Dumping module counter to page 1. 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Writing dot description to `memdemo_01.dot'. Dumping selected parts of module memdemo to page 1. 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). 7. Generating Graphviz representation of design. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). Optimizing module counter. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. 12.16. Finished OPT passes. (There is nothing left to do.) Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. 13. Executing SPLITNETS pass (splitting up multi-bit signals). Finding unused cells or wires in module \counter.. 12.15. Executing OPT_EXPR pass (perform const folding). 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. final dff cell mappings: unmapped dff cell: $_DFF_N_ \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ unmapped dff cell: $_DFF_PN0_ unmapped dff cell: $_DFF_PN1_ unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ unmapped dff cell: $_DFFE_NN_ unmapped dff cell: $_DFFE_NP_ unmapped dff cell: $_DFFE_PN_ unmapped dff cell: $_DFFE_PP_ unmapped dff cell: $_DFFSR_NNN_ unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ 15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). Mapping DFF cells in module `\counter': mapped 2 $_DFF_P_ cells to \DFF cells. 16. Executing ABC pass (technology mapping using ABC). Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). 16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. Removed 0 unused cells and 2 unused wires. 14. Generating Graphviz representation of design. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. Removed 0 unused cells and 2 unused wires. 14. Generating Graphviz representation of design. Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$36' with positive edge clock. Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$37' with positive edge clock. Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$38' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$39' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$40' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$41' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$42' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$43' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$44' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$45' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$46' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$47' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$48' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$49' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Writing dot description to `addshift.dot'. Dumping module test to page 1. Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). End of script. Logfile hash: 99575363c1, CPU: user 0.01s system 0.00s, MEM: 10.79 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 33% 1x clean (0 sec), 25% 1x techmap (0 sec), ... Writing dot description to `counter_02.dot'. Dumping module counter to page 1. Writing dot description to `counter_02.dot'. Dumping module counter to page 1. Writing dot description to `memdemo_02.dot'. Dumping selected parts of module memdemo to page 1. 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). 8. Generating Graphviz representation of design. Optimizing module counter. Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $and. cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. final dff cell mappings: unmapped dff cell: $_DFF_N_ \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ unmapped dff cell: $_DFF_PN0_ unmapped dff cell: $_DFF_PN1_ unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ unmapped dff cell: $_DFFE_NN_ unmapped dff cell: $_DFFE_NP_ unmapped dff cell: $_DFFE_PN_ unmapped dff cell: $_DFFE_PP_ unmapped dff cell: $_DFFSR_NNN_ unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ 15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. final dff cell mappings: unmapped dff cell: $_DFF_N_ \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ unmapped dff cell: $_DFF_PN0_ unmapped dff cell: $_DFF_PN1_ unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ unmapped dff cell: $_DFFE_NN_ unmapped dff cell: $_DFFE_NP_ unmapped dff cell: $_DFFE_PN_ unmapped dff cell: $_DFFE_PP_ unmapped dff cell: $_DFFSR_NNN_ unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ 15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). 12.16. Finished OPT passes. (There is nothing left to do.) Finding unused cells or wires in module \memdemo.. 4.15. Executing OPT_EXPR pass (perform const folding). Mapping DFF cells in module `\counter': mapped 2 $_DFF_P_ cells to \DFF cells. 13. Executing SPLITNETS pass (splitting up multi-bit signals). 16. Executing ABC pass (technology mapping using ABC). Mapping DFF cells in module `\counter': mapped 2 $_DFF_P_ cells to \DFF cells. 16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. 16. Executing ABC pass (technology mapping using ABC). 16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. Finding unused cells or wires in module \test.. Removed 0 unused cells and 4 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/techmap' Finding unused cells or wires in module \memdemo.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module memdemo. 2.3. Executing FUTURE pass. Removed 0 unused cells and 2 unused wires. 14. Generating Graphviz representation of design. Writing dot description to `memdemo_03.dot'. Dumping selected parts of module memdemo to page 1. Optimizing module memdemo. 9. Generating Graphviz representation of design. 4.16. Finished OPT passes. (There is nothing left to do.) Writing dot description to `counter_02.dot'. Dumping module counter to page 1. 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 2.4. Executing OPT_EXPR pass (perform const folding). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. final dff cell mappings: unmapped dff cell: $_DFF_N_ \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ unmapped dff cell: $_DFF_PN0_ unmapped dff cell: $_DFF_PN1_ unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ unmapped dff cell: $_DFFE_NN_ unmapped dff cell: $_DFFE_NP_ unmapped dff cell: $_DFFE_PN_ unmapped dff cell: $_DFFE_PP_ unmapped dff cell: $_DFFSR_NNN_ unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ 15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). Mapping DFF cells in module `\counter': mapped 2 $_DFF_P_ cells to \DFF cells. Optimizing module memdemo. 16. Executing ABC pass (technology mapping using ABC). 4.16. Finished OPT passes. (There is nothing left to do.) 16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). Writing dot description to `memdemo_04.dot'. Dumping selected parts of module memdemo to page 1. 10. Generating Graphviz representation of design. Optimizing module memdemo. 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 5.15. Executing OPT_EXPR pass (perform const folding). Writing dot description to `memdemo_05.dot'. Dumping selected parts of module memdemo to page 1. Optimizing module test. 5.16. Rerunning OPT passes. (Maybe there is more to do..) 5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.19. Executing OPT_MERGE pass (detect identical cells). End of script. Logfile hash: ade9f67caf, CPU: user 0.08s system 0.00s, MEM: 12.96 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 23% 9x opt_expr (0 sec), 21% 9x opt_clean (0 sec), ... Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.20. Executing OPT_DFF pass (perform DFF optimizations). 5. Executing SUBMOD pass (moving cells to submodules as requested). 5.1. Executing OPT_CLEAN pass (remove unused cells and wires). Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). ../../../../yosys submod.ys 5. Executing SUBMOD pass (moving cells to submodules as requested). 5.1. Executing OPT_CLEAN pass (remove unused cells and wires). 5.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 5.2. Continuing SUBMOD pass. Optimizing module memdemo. 2.7.2. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \test.. 5.22. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. 5.2. Continuing SUBMOD pass. Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. Creating submodule scramble (\scramble) of module \memdemo. signal \clk: input \clk signal $auto$rtlil.cc:2786:And$129: internal signal $auto$rtlil.cc:2786:And$141: internal signal $auto$rtlil.cc:2786:And$117: internal signal \d: input \d signal $memory\mem$wrmux[1][2][0]$y$119: internal signal \mem[0]: output \mem[0] signal $auto$rtlil.cc:2786:And$103: internal signal $auto$rtlil.cc:2793:Eq$101: internal signal $auto$rtlil.cc:2793:Eq$99: internal signal $memory\mem$wrmux[3][2][0]$y$143: internal signal \mem[2]: output \mem[2] signal $memory\mem$wrmux[2][2][0]$y$131: internal signal \mem[1]: output \mem[1] signal $0$memwr$\mem$memdemo.v:13$2_DATA[3:0]$8: internal signal $0$memwr$\mem$memdemo.v:13$3_DATA[3:0]$10: internal signal $0$memwr$\mem$memdemo.v:13$4_DATA[3:0]$12: internal signal $0$memwr$\mem$memdemo.v:13$5_DATA[3:0]$14: internal signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: input \n1 signal $memory\mem$wrmux[0][2][0]$y$105: internal signal \mem[3]: output \mem[3] cell $memory\mem[3]$77 ($dff) cell $memory\mem[2]$75 ($dff) cell $memory\mem[1]$73 ($dff) cell $memory\mem[0]$71 ($dff) cell $memory\mem$wrmux[3][2][0]$142 ($mux) cell $memory\mem$wrmux[2][2][0]$130 ($mux) cell $memory\mem$wrmux[1][2][0]$118 ($mux) cell $memory\mem$wrmux[0][2][0]$104 ($mux) cell $auto$memory_map.cc:97:addr_decode$140 ($and) cell $auto$memory_map.cc:97:addr_decode$128 ($and) cell $auto$memory_map.cc:97:addr_decode$116 ($and) cell $auto$memory_map.cc:97:addr_decode$102 ($and) cell $auto$memory_map.cc:92:addr_decode$98 ($not) cell $auto$memory_map.cc:92:addr_decode$100 ($not) cell $add$memdemo.v:13$30 ($add) cell $add$memdemo.v:13$27 ($add) cell $add$memdemo.v:13$24 ($add) cell $add$memdemo.v:13$21 ($add) 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). Creating submodule scramble (\scramble) of module \memdemo. signal \clk: input \clk signal $auto$rtlil.cc:2786:And$129: internal signal $auto$rtlil.cc:2786:And$141: internal signal $auto$rtlil.cc:2786:And$117: internal signal \d: input \d signal $memory\mem$wrmux[1][2][0]$y$119: internal signal \mem[0]: output \mem[0] signal $auto$rtlil.cc:2786:And$103: internal signal $auto$rtlil.cc:2793:Eq$101: internal signal $auto$rtlil.cc:2793:Eq$99: internal signal $memory\mem$wrmux[3][2][0]$y$143: internal signal \mem[2]: output \mem[2] signal $memory\mem$wrmux[2][2][0]$y$131: internal signal \mem[1]: output \mem[1] signal $0$memwr$\mem$memdemo.v:13$2_DATA[3:0]$8: internal signal $0$memwr$\mem$memdemo.v:13$3_DATA[3:0]$10: internal signal $0$memwr$\mem$memdemo.v:13$4_DATA[3:0]$12: internal signal $0$memwr$\mem$memdemo.v:13$5_DATA[3:0]$14: internal signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: input \n1 signal $memory\mem$wrmux[0][2][0]$y$105: internal signal \mem[3]: output \mem[3] cell $memory\mem[3]$77 ($dff) cell $memory\mem[2]$75 ($dff) cell $memory\mem[1]$73 ($dff) cell $memory\mem[0]$71 ($dff) cell $memory\mem$wrmux[3][2][0]$142 ($mux) cell $memory\mem$wrmux[2][2][0]$130 ($mux) cell $memory\mem$wrmux[1][2][0]$118 ($mux) cell $memory\mem$wrmux[0][2][0]$104 ($mux) cell $auto$memory_map.cc:97:addr_decode$140 ($and) cell $auto$memory_map.cc:97:addr_decode$128 ($and) cell $auto$memory_map.cc:97:addr_decode$116 ($and) cell $auto$memory_map.cc:97:addr_decode$102 ($and) cell $auto$memory_map.cc:92:addr_decode$98 ($not) cell $auto$memory_map.cc:92:addr_decode$100 ($not) cell $add$memdemo.v:13$30 ($add) cell $add$memdemo.v:13$27 ($add) cell $add$memdemo.v:13$24 ($add) cell $add$memdemo.v:13$21 ($add) 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing module test. Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). 5.23. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Writing dot description to `memory_02.dot'. Dumping module test to page 1. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. 6.2. Continuing SUBMOD pass. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). End of script. Logfile hash: da34c3e279, CPU: user 0.04s system 0.00s, MEM: 10.71 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 28% 5x opt_expr (0 sec), 22% 5x opt_clean (0 sec), ... Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. 6.2. Continuing SUBMOD pass. Creating submodule outstage (\outstage) of module \memdemo. signal \clk: input \clk signal $memory\mem$rdmux[0][0][0]$b$81: internal signal \y: output \y signal \mem[0]: input \mem[0] signal $memory\mem$rdmux[0][0][0]$a$80: internal signal \mem[2]: input \mem[2] signal \mem[1]: input \mem[1] signal $0\s2[1:0]: input \n1 signal $\mem$rdreg[0]$d: internal signal \mem[3]: input \mem[3] cell $memory\mem$rdmux[0][1][1]$85 ($mux) cell $memory\mem$rdmux[0][1][0]$82 ($mux) cell $memory\mem$rdmux[0][0][0]$79 ($mux) cell $\mem$rdreg[0] ($dff) 7. Executing SUBMOD pass (moving cells to submodules as requested). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). Creating submodule outstage (\outstage) of module \memdemo. signal \clk: input \clk signal $memory\mem$rdmux[0][0][0]$b$81: internal signal \y: output \y signal \mem[0]: input \mem[0] signal $memory\mem$rdmux[0][0][0]$a$80: internal signal \mem[2]: input \mem[2] signal \mem[1]: input \mem[1] signal $0\s2[1:0]: input \n1 signal $\mem$rdreg[0]$d: internal signal \mem[3]: input \mem[3] cell $memory\mem$rdmux[0][1][1]$85 ($mux) cell $memory\mem$rdmux[0][1][0]$82 ($mux) cell $memory\mem$rdmux[0][0][0]$79 ($mux) cell $\mem$rdreg[0] ($dff) 7. Executing SUBMOD pass (moving cells to submodules as requested). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). ../../../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `submod.ys' -- 1. Executing Verilog-2005 frontend: memdemo.v Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. Optimizing module memdemo. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 signal \s2: input \s2 signal $0\s2[1:0]: output \n1 signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 signal $reduce_bool$memdemo.v:14$32_Y: internal signal $xor$memdemo.v:14$31_Y: internal cell $xor$memdemo.v:14$31 ($xor) cell $ternary$memdemo.v:14$33 ($mux) cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 signal \s2: input \s2 signal $0\s2[1:0]: output \n1 signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 signal $reduce_bool$memdemo.v:14$32_Y: internal signal $xor$memdemo.v:14$31_Y: internal cell $xor$memdemo.v:14$31 ($xor) cell $ternary$memdemo.v:14$33 ($mux) cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). 8. Generating Graphviz representation of design. 8. Generating Graphviz representation of design. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $or. No more expansions possible. 4. Executing ABC pass (technology mapping using ABC). 4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. 4.1.1. Executing ABC. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). Parsing Verilog input from `memdemo.v' to AST representation. Generating RTLIL representation for module `\memdemo'. Successfully finished Verilog frontend. 2. Executing PREP pass. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. Top module: \memdemo 2.1.2. Analyzing design hierarchy.. Writing dot description to `submod_00.dot'. Dumping module memdemo to page 1. Top module: \memdemo Removed 0 unused modules. Writing dot description to `submod_00.dot'. Dumping module memdemo to page 1. Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). 9. Generating Graphviz representation of design. 9. Generating Graphviz representation of design. Removed 0 redundant assignments. Promoted 14 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\memdemo.$proc$memdemo.v:11$7'. 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Finding unused cells or wires in module \memdemo.. 2.7.13. Executing OPT_EXPR pass (perform const folding). Writing dot description to `submod_01.dot'. Dumping module scramble to page 1. Creating register for signal `\memdemo.\y' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\memdemo.\i' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$36' with positive edge clock. Creating register for signal `\memdemo.\s1' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$37' with positive edge clock. Creating register for signal `\memdemo.\s2' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$38' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$39' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$2_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$40' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$41' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$3_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$42' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$43' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$4_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$44' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$45' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:13$5_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$46' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_ADDR' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$47' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_DATA' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$48' with positive edge clock. Creating register for signal `\memdemo.$memwr$\mem$memdemo.v:15$6_EN' using process `\memdemo.$proc$memdemo.v:11$7'. created $dff cell `$procdff$49' with positive edge clock. 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). Writing dot description to `submod_01.dot'. Dumping module scramble to page 1. 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `memdemo.$proc$memdemo.v:11$7'. Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). 10. Generating Graphviz representation of design. 10. Generating Graphviz representation of design. Optimizing module memdemo. Writing dot description to `submod_02.dot'. Dumping module outstage to page 1. Writing dot description to `submod_02.dot'. Dumping module outstage to page 1. 2.7.14. Finished OPT passes. (There is nothing left to do.) 11. Generating Graphviz representation of design. 11. Generating Graphviz representation of design. 2.8. Executing WREDUCE pass (reducing word size of cells). Optimizing module memdemo. Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). 2.3. Executing FUTURE pass. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format dot' -- -- Executing script file `techmap_01.ys' -- 1. Executing Verilog-2005 frontend: techmap_01.v Writing dot description to `submod_03.dot'. Dumping module selstage to page 1. Writing dot description to `submod_03.dot'. Dumping module selstage to page 1. Parsing Verilog input from `techmap_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). End of script. Logfile hash: 0d75fd3476, CPU: user 0.09s system 0.00s, MEM: 12.94 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 26% 12x opt_clean (0 sec), 22% 9x opt_expr (0 sec), ... End of script. Logfile hash: 0d75fd3476, CPU: user 0.09s system 0.01s, MEM: 12.89 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 26% 12x opt_clean (0 sec), 21% 9x opt_expr (0 sec), ... 3.1. Executing Verilog-2005 frontend: techmap_01_map.v 2.4. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). Parsing Verilog input from `techmap_01_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). ../../../../yosys cmos.ys Optimizing module memdemo. Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. Optimizing module memdemo. 2.11.2. Executing OPT_MERGE pass (detect identical cells). No more expansions possible. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 12 unused cells and 26 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). Finding unused cells or wires in module \memdemo.. 2.11.4. Finished fast OPT passes. Checking module memdemo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.12. Printing statistics. 2.7.1. Executing OPT_EXPR pass (perform const folding). === memdemo === Number of wires: 18 Number of wire bits: 58 Number of public wires: 5 Number of public wire bits: 13 Number of ports: 3 Number of port bits: 9 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 11 $add 4 $dff 3 $mem_v2 1 $mux 1 $reduce_bool 1 $xor 1 2.13. Executing CHECK pass (checking for obvious problems). Checking module memdemo... Found and reported 0 problems. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. Optimizing module memdemo. 2.7.2. Executing OPT_MERGE pass (detect identical cells). Writing dot description to `techmap_01.dot'. Dumping module test to page 1. End of script. Logfile hash: 49c16386d9, CPU: user 0.01s system 0.00s, MEM: 10.84 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 33% 1x techmap (0 sec), 31% 1x clean (0 sec), ... Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add. No more expansions possible. /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) -- Executing script file `cmos.ys' -- 1. Executing Verilog-2005 frontend: cmos.v 11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). Parsing Verilog input from `cmos.v' to AST representation. Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. 2. Executing PREP pass. This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. 2.1. Executing HIERARCHY pass (managing design hierarchy). 2.1.1. Analyzing design hierarchy.. Top module: \cmos_demo 2.1.2. Analyzing design hierarchy.. Top module: \cmos_demo Removed 0 unused modules. 2.2. Executing PROC pass (convert processes to netlists). 2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 2.2.4. Executing PROC_INIT pass (extract init attributes). 2.2.5. Executing PROC_ARST pass (detect async resets in processes). 2.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Finding identical cells in module `\memdemo'. Removed a total of 4 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 2.2.12. Executing OPT_EXPR pass (perform const folding). Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. Analyzing memdemo.mem write port 3. Analyzing memdemo.mem write port 4. 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/synth_flow' Optimizing module cmos_demo. 2.3. Executing FUTURE pass. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). 2.4. Executing OPT_EXPR pass (perform const folding). This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. Optimizing module cmos_demo. 2.5. Executing OPT_CLEAN pass (remove unused cells and wires). Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. Write port 2: non-transparent. Write port 3: non-transparent. Write port 4: non-transparent. Checking read port `\mem'[1] in module `\memdemo': no output FF found. Checking read port `\mem'[2] in module `\memdemo': no output FF found. Checking read port `\mem'[3] in module `\memdemo': no output FF found. Checking read port `\mem'[4] in module `\memdemo': no output FF found. Checking read port address `\mem'[1] in module `\memdemo': no address FF found. Checking read port address `\mem'[2] in module `\memdemo': no address FF found. Checking read port address `\mem'[3] in module `\memdemo': no address FF found. Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 4 unused wires. 2.7.7. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \cmos_demo.. Removed 0 unused cells and 1 unused wires. 2.6. Executing CHECK pass (checking for obvious problems). Checking module cmos_demo... Found and reported 0 problems. 2.7. Executing OPT pass (performing simple optimizations). 2.7.1. Executing OPT_EXPR pass (perform const folding). Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Optimizing module memdemo. 2.7.8. Rerunning OPT passes. (Maybe there is more to do..) 2.7.9. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Optimizing module cmos_demo. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 2.7.10. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). 2.7.2. Executing OPT_MERGE pass (detect identical cells). Optimizing cells in module \memdemo. Performed a total of 0 changes. 2.7.11. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 2.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \cmos_demo.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 2.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 2 (address 2'00). Consolidating read ports of memory memdemo.mem by address: Consolidating write ports of memory memdemo.mem by address: Merging ports 0, 1 (address 2'00). Merging ports 0, 2 (address 2'00). Consolidating write ports of memory memdemo.mem by address: 3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Optimizing cells in module \cmos_demo. Performed a total of 0 changes. 2.7.5. Executing OPT_MERGE pass (detect identical cells). Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } Removed 0 unused cells and 56 unused wires. 12. Generating Graphviz representation of design. Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 2.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.7.12. Executing OPT_CLEAN pass (remove unused cells and wires). Writing dot description to `macc_xilinx_test1c.dot'. Dumping module test1 to page 1. 13. Generating Graphviz representation of design. Finding unused cells or wires in module \cmos_demo.. 2.7.7. Executing OPT_EXPR pass (perform const folding). Writing dot description to `macc_xilinx_test2c.dot'. Dumping module test2 to page 1. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v Optimizing module cmos_demo. 2.7.8. Finished OPT passes. (There is nothing left to do.) Finding unused cells or wires in module \memdemo.. 2.8. Executing WREDUCE pass (reducing word size of cells). 2.7.13. Executing OPT_EXPR pass (perform const folding). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 15. Executing TECHMAP pass (map to technology primitives). 15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] read interface: 1 $dff and 3 $mux cells. write interface: 12 write mux blocks. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 15.2. Continuing TECHMAP pass. Finding unused cells or wires in module \cmos_demo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). No more expansions possible. 16. Executing TECHMAP pass (map to technology primitives). 16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v Optimizing module memdemo. Optimizing module cmos_demo. 2.11.2. Executing OPT_MERGE pass (detect identical cells). 2.7.14. Finished OPT passes. (There is nothing left to do.) 2.8. Executing WREDUCE pass (reducing word size of cells). Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$50 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$51 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$52 (mem). Removed top 30 address bits (of 32) from memory init port memdemo.$auto$proc_memwr.cc:45:proc_memwr$53 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$19 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$20 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$23 (mem). Removed top 30 address bits (of 32) from memory read port memdemo.$memrd$\mem$memdemo.v:13$26 (mem). 2.9. Executing OPT_CLEAN pass (remove unused cells and wires). Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 16.2. Continuing TECHMAP pass. Finding unused cells or wires in module \cmos_demo.. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. === cmos_demo === Number of wires: 3 Number of wire bits: 4 Number of public wires: 3 Number of public wire bits: 4 Number of ports: 3 Number of port bits: 4 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 1 $add 1 2.13. Executing CHECK pass (checking for obvious problems). Checking module cmos_demo... Found and reported 0 problems. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v Optimizing module memdemo. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding unused cells or wires in module \memdemo.. 2.10. Executing MEMORY_COLLECT pass (generating $mem cells). 2.11. Executing OPT pass (performing simple optimizations). 2.11.1. Executing OPT_EXPR pass (perform const folding). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Optimizing module memdemo. 2.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 2.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul. This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 2.11.4. Finished fast OPT passes. 2.12. Printing statistics. === memdemo === Number of wires: 18 Number of wire bits: 58 Number of public wires: 5 Number of public wire bits: 13 Number of ports: 3 Number of port bits: 9 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 11 $add 4 $dff 3 $mem_v2 1 $mux 1 $reduce_bool 1 $xor 1 2.13. Executing CHECK pass (checking for obvious problems). Checking module memdemo... Found and reported 0 problems. 3. Executing MEMORY pass. 3.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 3.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Performed a total of 6 transformations. 3.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing memdemo.mem write port 0. Analyzing memdemo.mem write port 1. Analyzing memdemo.mem write port 2. Analyzing memdemo.mem write port 3. Analyzing memdemo.mem write port 4. 3.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 3.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Optimizing module memdemo. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. No more expansions possible. Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. Checking read port `\mem'[0] in module `\memdemo': merging output FF to cell. Write port 0: non-transparent. Write port 1: non-transparent. Write port 2: non-transparent. Write port 3: non-transparent. Write port 4: non-transparent. Checking read port `\mem'[1] in module `\memdemo': no output FF found. Checking read port `\mem'[2] in module `\memdemo': no output FF found. Checking read port `\mem'[3] in module `\memdemo': no output FF found. Checking read port `\mem'[4] in module `\memdemo': no output FF found. Checking read port address `\mem'[1] in module `\memdemo': no address FF found. Checking read port address `\mem'[2] in module `\memdemo': no address FF found. Checking read port address `\mem'[3] in module `\memdemo': no address FF found. Checking read port address `\mem'[4] in module `\memdemo': no address FF found. 3.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Removed 0 unused cells and 17 unused wires. 17. Executing EXTRACT pass (map subcircuits to cells). 17.1. Creating graphs for SubCircuit library. Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. Removed 1 unused cells and 5 unused wires. 3.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Using template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $and. Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 4 (address 2'00). Merging ports 2, 3 (address 2'11). Consolidating read ports of memory memdemo.mem by address: Merging ports 1, 2 (address 2'00). Consolidating read ports of memory memdemo.mem by address: Consolidating write ports of memory memdemo.mem by address: Merging ports 0, 1 (address 2'00). Merging ports 0, 2 (address 2'00). Consolidating write ports of memory memdemo.mem by address: 3.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 3.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \memdemo.. 4.15. Executing OPT_EXPR pass (perform const folding). Creating needle graph needle_DSP48_MACC. Creating haystack graph haystack_$__add_wrapper. Creating haystack graph haystack_$__mul_wrapper. Creating haystack graph haystack_DSP48_MACC. Creating haystack graph haystack_test1. Creating haystack graph haystack_test2. 17.2. Running solver from SubCircuit library. Solving for needle_DSP48_MACC in haystack_$__add_wrapper. Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. Solving for needle_DSP48_MACC in haystack_DSP48_MACC. Solving for needle_DSP48_MACC in haystack_test1. Solving for needle_DSP48_MACC in haystack_test2. Found 3 matches. 17.3. Substitute SubCircuits with cells. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 5 unused wires. 3.10. Executing MEMORY_COLLECT pass (generating $mem cells). 3.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). Mapping memory \mem in module \memdemo: created 4 $dff cells and 0 static cells of width 4. Extracted data FF from read port 0 of memdemo.mem: $\mem$rdreg[0] read interface: 1 $dff and 3 $mux cells. write interface: 12 write mux blocks. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Match #0: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$35 Match #1: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$36 Match #2: (needle_DSP48_MACC in haystack_test2) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$37 Optimizing module memdemo. 4.16. Finished OPT passes. (There is nothing left to do.) Removed 0 unused cells and 6 unused wires. 18. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1d.dot'. Dumping module test1 to page 1. 19. Generating Graphviz representation of design. Optimizing module memdemo. 4.2. Executing OPT_MERGE pass (detect identical cells). Writing dot description to `macc_xilinx_test2d.dot'. Dumping module test2 to page 1. 20. Executing TECHMAP pass (map to technology primitives). 20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `\$__mul_wrapper'. Generating RTLIL representation for module `\$__add_wrapper'. Successfully finished Verilog frontend. 20.2. Continuing TECHMAP pass. Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $or. No more expansions possible. 4. Executing ABC pass (technology mapping using ABC). 4.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper. No more expansions possible. Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. 4.1.1. Executing ABC. Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). 5. Executing SUBMOD pass (moving cells to submodules as requested). 5.1. Executing OPT_CLEAN pass (remove unused cells and wires). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Removed 0 unused cells and 14 unused wires. 21. Generating Graphviz representation of design. Finding unused cells or wires in module \memdemo.. 5.2. Continuing SUBMOD pass. Writing dot description to `macc_xilinx_test1e.dot'. Dumping module test1 to page 1. 22. Generating Graphviz representation of design. Creating submodule scramble (\scramble) of module \memdemo. signal \clk: input \clk signal $auto$rtlil.cc:2786:And$129: internal signal $auto$rtlil.cc:2786:And$141: internal signal $auto$rtlil.cc:2786:And$117: internal signal \d: input \d signal $memory\mem$wrmux[1][2][0]$y$119: internal signal \mem[0]: output \mem[0] signal $auto$rtlil.cc:2786:And$103: internal signal $auto$rtlil.cc:2793:Eq$101: internal signal $auto$rtlil.cc:2793:Eq$99: internal signal $memory\mem$wrmux[3][2][0]$y$143: internal signal \mem[2]: output \mem[2] signal $memory\mem$wrmux[2][2][0]$y$131: internal signal \mem[1]: output \mem[1] signal $0$memwr$\mem$memdemo.v:13$2_DATA[3:0]$8: internal signal $0$memwr$\mem$memdemo.v:13$3_DATA[3:0]$10: internal signal $0$memwr$\mem$memdemo.v:13$4_DATA[3:0]$12: internal signal $0$memwr$\mem$memdemo.v:13$5_DATA[3:0]$14: internal signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: input \n1 signal $memory\mem$wrmux[0][2][0]$y$105: internal signal \mem[3]: output \mem[3] cell $memory\mem[3]$77 ($dff) cell $memory\mem[2]$75 ($dff) cell $memory\mem[1]$73 ($dff) cell $memory\mem[0]$71 ($dff) cell $memory\mem$wrmux[3][2][0]$142 ($mux) cell $memory\mem$wrmux[2][2][0]$130 ($mux) cell $memory\mem$wrmux[1][2][0]$118 ($mux) cell $memory\mem$wrmux[0][2][0]$104 ($mux) cell $auto$memory_map.cc:97:addr_decode$140 ($and) cell $auto$memory_map.cc:97:addr_decode$128 ($and) cell $auto$memory_map.cc:97:addr_decode$116 ($and) cell $auto$memory_map.cc:97:addr_decode$102 ($and) cell $auto$memory_map.cc:92:addr_decode$98 ($not) cell $auto$memory_map.cc:92:addr_decode$100 ($not) cell $add$memdemo.v:13$30 ($add) cell $add$memdemo.v:13$27 ($add) cell $add$memdemo.v:13$24 ($add) cell $add$memdemo.v:13$21 ($add) Writing dot description to `macc_xilinx_test2e.dot'. Dumping module test2 to page 1. 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). 23. Generating Graphviz representation of design. Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 28 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Writing dot description to `macc_xilinx_xmap.dot'. Dumping module DSP48_MACC to page 1. End of script. Logfile hash: 750c951a95, CPU: user 0.11s system 0.00s, MEM: 12.55 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 37% 12x clean (0 sec), 19% 5x techmap (0 sec), ... Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. 6.2. Continuing SUBMOD pass. make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/macc' Optimizing module memdemo. Creating submodule outstage (\outstage) of module \memdemo. signal \clk: input \clk signal $memory\mem$rdmux[0][0][0]$b$81: internal signal \y: output \y signal \mem[0]: input \mem[0] signal $memory\mem$rdmux[0][0][0]$a$80: internal signal \mem[2]: input \mem[2] signal \mem[1]: input \mem[1] signal $0\s2[1:0]: input \n1 signal $\mem$rdreg[0]$d: internal signal \mem[3]: input \mem[3] cell $memory\mem$rdmux[0][1][1]$85 ($mux) cell $memory\mem$rdmux[0][1][0]$82 ($mux) cell $memory\mem$rdmux[0][0][0]$79 ($mux) cell $\mem$rdreg[0] ($dff) 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). 7. Executing SUBMOD pass (moving cells to submodules as requested). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). Running muxtree optimizer on module \memdemo.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \memdemo. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\memdemo'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). Finding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 signal \s2: input \s2 signal $0\s2[1:0]: output \n1 signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 signal $reduce_bool$memdemo.v:14$32_Y: internal signal $xor$memdemo.v:14$31_Y: internal cell $xor$memdemo.v:14$31 ($xor) cell $ternary$memdemo.v:14$33 ($mux) cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). 8. Generating Graphviz representation of design. Writing dot description to `submod_00.dot'. Dumping module memdemo to page 1. Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. 9. Generating Graphviz representation of design. ABC RESULTS: NAND cells: 4 ABC RESULTS: NOR cells: 4 ABC RESULTS: NOT cells: 3 ABC RESULTS: internal signals: 5 ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. Finding unused cells or wires in module \memdemo.. 4.15. Executing OPT_EXPR pass (perform const folding). Removed 0 unused cells and 10 unused wires. 17. Generating Graphviz representation of design. 17.1. Executing Verilog-2005 frontend: mycells.v Writing dot description to `submod_01.dot'. Dumping module scramble to page 1. 10. Generating Graphviz representation of design. Parsing Verilog input from `mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 17.2. Continuing show pass. Writing dot description to `counter_03.dot'. Dumping module counter to page 1. 18. Executing Verilog backend. 18.1. Executing BMUXMAP pass. Writing dot description to `submod_02.dot'. Dumping module outstage to page 1. 18.2. Executing DEMUXMAP pass. Optimizing module memdemo. 11. Generating Graphviz representation of design. 4.16. Finished OPT passes. (There is nothing left to do.) Dumping module `\counter'. End of script. Logfile hash: c76f255bd1, CPU: user 0.11s system 0.01s, MEM: 15.03 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 43% 1x abc (0 sec), 15% 13x opt_expr (0 sec), ... Writing dot description to `submod_03.dot'. Dumping module selstage to page 1. End of script. Logfile hash: 0d75fd3476, CPU: user 0.09s system 0.00s, MEM: 12.80 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 25% 12x opt_clean (0 sec), 22% 9x opt_expr (0 sec), ... entering extended mode (./basics_ast.tex 5. Executing SUBMOD pass (moving cells to submodules as requested). 5.1. Executing OPT_CLEAN pass (remove unused cells and wires). LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsentering extended mode (./overview_flow.texFinding unused cells or wires in module \memdemo.. 5.2. Continuing SUBMOD pass. entering extended mode (./simplified_rtlil.texCreating submodule scramble (\scramble) of module \memdemo. signal \clk: input \clk signal $auto$rtlil.cc:2786:And$129: internal signal $auto$rtlil.cc:2786:And$141: internal signal $auto$rtlil.cc:2786:And$117: internal signal \d: input \d signal $memory\mem$wrmux[1][2][0]$y$119: internal signal \mem[0]: output \mem[0] signal $auto$rtlil.cc:2786:And$103: internal signal $auto$rtlil.cc:2793:Eq$101: internal signal $auto$rtlil.cc:2793:Eq$99: internal signal $memory\mem$wrmux[3][2][0]$y$143: internal signal \mem[2]: output \mem[2] signal $memory\mem$wrmux[2][2][0]$y$131: internal signal \mem[1]: output \mem[1] signal $0$memwr$\mem$memdemo.v:13$2_DATA[3:0]$8: internal signal $0$memwr$\mem$memdemo.v:13$3_DATA[3:0]$10: internal signal $0$memwr$\mem$memdemo.v:13$4_DATA[3:0]$12: internal signal $0$memwr$\mem$memdemo.v:13$5_DATA[3:0]$14: internal signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: input \n1 signal $memory\mem$wrmux[0][2][0]$y$105: internal signal \mem[3]: output \mem[3] cell $memory\mem[3]$77 ($dff) cell $memory\mem[2]$75 ($dff) cell $memory\mem[1]$73 ($dff) cell $memory\mem[0]$71 ($dff) cell $memory\mem$wrmux[3][2][0]$142 ($mux) cell $memory\mem$wrmux[2][2][0]$130 ($mux) cell $memory\mem$wrmux[1][2][0]$118 ($mux) cell $memory\mem$wrmux[0][2][0]$104 ($mux) cell $auto$memory_map.cc:97:addr_decode$140 ($and) cell $auto$memory_map.cc:97:addr_decode$128 ($and) cell $auto$memory_map.cc:97:addr_decode$116 ($and) cell $auto$memory_map.cc:97:addr_decode$102 ($and) cell $auto$memory_map.cc:92:addr_decode$98 ($not) cell $auto$memory_map.cc:92:addr_decode$100 ($not) cell $add$memdemo.v:13$30 ($add) cell $add$memdemo.v:13$27 ($add) cell $add$memdemo.v:13$24 ($add) cell $add$memdemo.v:13$21 ($add) 6. Executing SUBMOD pass (moving cells to submodules as requested). 6.1. Executing OPT_CLEAN pass (remove unused cells and wires). entering extended mode (./verilog_flow.texFinding unused cells or wires in module \memdemo.. Removed 0 unused cells and 14 unused wires. 6.2. Continuing SUBMOD pass. Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsCreating submodule outstage (\outstage) of module \memdemo. signal \clk: input \clk signal $memory\mem$rdmux[0][0][0]$b$81: internal signal \y: output \y signal \mem[0]: input \mem[0] signal $memory\mem$rdmux[0][0][0]$a$80: internal signal \mem[2]: input \mem[2] signal \mem[1]: input \mem[1] signal $0\s2[1:0]: input \n1 signal $\mem$rdreg[0]$d: internal signal \mem[3]: input \mem[3] cell $memory\mem$rdmux[0][1][1]$85 ($mux) cell $memory\mem$rdmux[0][1][0]$82 ($mux) cell $memory\mem$rdmux[0][0][0]$79 ($mux) cell $\mem$rdreg[0] ($dff) 7. Executing SUBMOD pass (moving cells to submodules as requested). 7.1. Executing OPT_CLEAN pass (remove unused cells and wires). )entering extended mode (./approach_flow.tex LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsFinding unused cells or wires in module \memdemo.. Removed 0 unused cells and 3 unused wires. 7.2. Continuing SUBMOD pass. Creating submodule selstage (\selstage) of module \memdemo. signal \d: input \d signal \s1: input \s1 signal \s2: input \s2 signal $0\s2[1:0]: output \n1 signal $0$memwr$\mem$memdemo.v:15$6_ADDR[1:0]$16: output \n2 signal $reduce_bool$memdemo.v:14$32_Y: internal signal $xor$memdemo.v:14$31_Y: internal cell $xor$memdemo.v:14$31 ($xor) cell $ternary$memdemo.v:14$33 ($mux) cell $reduce_bool$memdemo.v:14$32 ($reduce_bool) 8. Generating Graphviz representation of design. entering extended mode (./overview_rtlil.texWriting dot description to `submod_00.dot'. Dumping module memdemo to page 1. 9. Generating Graphviz representation of design. LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsWriting dot description to `submod_01.dot'. Dumping module scramble to page 1. 10. Generating Graphviz representation of design. Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.styWriting dot description to `submod_02.dot'. Dumping module outstage to page 1. 11. Generating Graphviz representation of design. ) LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsWriting dot description to `submod_03.dot'. Dumping module selstage to page 1. End of script. Logfile hash: 0d75fd3476, CPU: user 0.09s system 0.00s, MEM: 13.02 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 26% 12x opt_clean (0 sec), 22% 9x opt_expr (0 sec), ... entering extended mode (./basics_abstractions.tex Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/selections' LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. ABC RESULTS: NAND cells: 4 ABC RESULTS: NOR cells: 4 ABC RESULTS: NOT cells: 3 ABC RESULTS: internal signals: 5 ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)Removed 0 unused cells and 10 unused wires. 17. Generating Graphviz representation of design. 17.1. Executing Verilog-2005 frontend: mycells.v (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.styParsing Verilog input from `mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 17.2. Continuing show pass. (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.styWriting dot description to `counter_03.dot'. Dumping module counter to page 1. 18. Executing Verilog backend. 18.1. Executing BMUXMAP pass. 18.2. Executing DEMUXMAP pass. (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))Dumping module `\counter'. End of script. Logfile hash: c76f255bd1, CPU: user 0.11s system 0.00s, MEM: 15.02 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 51% 1x abc (0 sec), 13% 13x opt_expr (0 sec), ... Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 4.1.2. Re-integrating ABC results. )ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. ABC RESULTS: NAND cells: 4 ABC RESULTS: NOR cells: 4 ABC RESULTS: NOT cells: 3 ABC RESULTS: internal signals: 5 ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.styRemoved 0 unused cells and 36 unused wires. 5. Generating Graphviz representation of design. )Removed 0 unused cells and 10 unused wires. 17. Generating Graphviz representation of design. Writing dot description to `cmos_00.dot'. Dumping module cmos_demo to page 1. 17.1. Executing Verilog-2005 frontend: mycells.v 6. Executing Verilog-2005 frontend: cmos.v Parsing Verilog input from `cmos.v' to AST representation. Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. 7. Executing PREP pass. 7.1. Executing HIERARCHY pass (managing design hierarchy). 7.1.1. Analyzing design hierarchy.. Top module: \cmos_demo 7.1.2. Analyzing design hierarchy.. Top module: \cmos_demo Removed 0 unused modules. 7.2. Executing PROC pass (convert processes to netlists). 7.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 7.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 7.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 7.2.4. Executing PROC_INIT pass (extract init attributes). 7.2.5. Executing PROC_ARST pass (detect async resets in processes). (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty 7.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 7.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 7.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). Parsing Verilog input from `mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 17.2. Continuing show pass. 7.2.9. Executing PROC_DFF pass (convert process syncs to FFs). ) 7.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 7.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 7.2.12. Executing OPT_EXPR pass (perform const folding). (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.styWriting dot description to `counter_03.dot'. Dumping module counter to page 1. (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.styOptimizing module cmos_demo. 18. Executing Verilog backend. 7.3. Executing FUTURE pass. 18.1. Executing BMUXMAP pass. 18.2. Executing DEMUXMAP pass. ) 7.4. Executing OPT_EXPR pass (perform const folding). (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.styRunning ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /docs/source/code_examples/intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/docs/source/code_examples/intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.styDumping module `\counter'. End of script. Logfile hash: c76f255bd1, CPU: user 0.11s system 0.00s, MEM: 15.27 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 51% 1x abc (0 sec), 12% 13x opt_expr (0 sec), ... ABC RESULTS: NAND cells: 4 ABC RESULTS: NOR cells: 4 ABC RESULTS: NOT cells: 3 ABC RESULTS: internal signals: 5 ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. Optimizing module cmos_demo. (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex 7.5. Executing OPT_CLEAN pass (remove unused cells and wires). (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.texRemoved 0 unused cells and 10 unused wires. 17. Generating Graphviz representation of design. Finding unused cells or wires in module \cmos_demo.. Removed 0 unused cells and 1 unused wires. 17.1. Executing Verilog-2005 frontend: mycells.v 7.6. Executing CHECK pass (checking for obvious problems). Checking module cmos_demo... Found and reported 0 problems. 7.7. Executing OPT pass (performing simple optimizations). 7.7.1. Executing OPT_EXPR pass (perform const folding). entering extended mode (./levels_of_abstraction.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.styParsing Verilog input from `mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 17.2. Continuing show pass. (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))Optimizing module cmos_demo. )) 7.7.2. Executing OPT_MERGE pass (detect identical cells). Writing dot description to `counter_03.dot'. Dumping module counter to page 1. 18. Executing Verilog backend. 18.1. Executing BMUXMAP pass. 18.2. Executing DEMUXMAP pass. ))Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.styRunning muxtree optimizer on module \cmos_demo.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 7.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \cmos_demo. Performed a total of 0 changes. 7.7.5. Executing OPT_MERGE pass (detect identical cells). )) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex))Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.texDumping module `\counter'. End of script. Logfile hash: c76f255bd1, CPU: user 0.11s system 0.01s, MEM: 14.82 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 51% 1x abc (0 sec), 13% 13x opt_expr (0 sec), ... ) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)Finding unused cells or wires in module \cmos_demo.. 7.7.7. Executing OPT_EXPR pass (perform const folding). ) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.stymake[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/intro' Optimizing module cmos_demo. 7.7.8. Finished OPT passes. (There is nothing left to do.) 7.8. Executing WREDUCE pass (reducing word size of cells). 7.9. Executing OPT_CLEAN pass (remove unused cells and wires). )entering extended mode (./basics_flow.tex (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)Finding unused cells or wires in module \cmos_demo.. 7.10. Executing MEMORY_COLLECT pass (generating $mem cells). 7.11. Executing OPT pass (performing simple optimizations). 7.11.1. Executing OPT_EXPR pass (perform const folding). (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)Optimizing module cmos_demo. ) 7.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)Finding unused cells or wires in module \cmos_demo.. 7.11.4. Finished fast OPT passes. 7.12. Printing statistics. === cmos_demo === Number of wires: 3 Number of wire bits: 4 Number of public wires: 3 Number of public wire bits: 4 Number of ports: 3 Number of port bits: 4 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 1 $add 1 7.13. Executing CHECK pass (checking for obvious problems). Checking module cmos_demo... Found and reported 0 problems. ) 8. Executing TECHMAP pass (map to technology primitives). 8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.styentering extended mode (./basics_parsetree.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls))) Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) Document Class: standalone 2025/02/22 v1.5a Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgParsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 8.2. Continuing TECHMAP pass. (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styRunning "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. )) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgUsing template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $mux. ) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))))) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)))) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $or. No more expansions possible. 9. Executing SPLITNETS pass (splitting up multi-bit signals). )) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty)Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 4.1.2. Re-integrating ABC results. (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.cloABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))Removed 0 unused cells and 36 unused wires. 5. Generating Graphviz representation of design. ))) 10. Executing ABC pass (technology mapping using ABC). Writing dot description to `cmos_00.dot'. Dumping module cmos_demo to page 1. 6. Executing Verilog-2005 frontend: cmos.v 10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. Parsing Verilog input from `cmos.v' to AST representation. Generating RTLIL representation for module `\cmos_demo'. Successfully finished Verilog frontend. 7. Executing PREP pass. 7.1. Executing HIERARCHY pass (managing design hierarchy). 7.1.1. Analyzing design hierarchy.. Top module: \cmos_demo 7.1.2. Analyzing design hierarchy.. Top module: \cmos_demo Removed 0 unused modules. 7.2. Executing PROC pass (convert processes to netlists). Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. 10.1.1. Executing ABC. 7.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 7.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 7.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 7.2.4. Executing PROC_INIT pass (extract init attributes). 7.2.5. Executing PROC_ARST pass (detect async resets in processes). 7.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 7.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 7.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 7.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 7.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 7.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 7.2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module cmos_demo. 7.3. Executing FUTURE pass. ) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg 7.4. Executing OPT_EXPR pass (perform const folding). (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.styOptimizing module cmos_demo. 7.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \cmos_demo.. Removed 0 unused cells and 1 unused wires. 7.6. Executing CHECK pass (checking for obvious problems). Checking module cmos_demo... Found and reported 0 problems. 7.7. Executing OPT pass (performing simple optimizations). 7.7.1. Executing OPT_EXPR pass (perform const folding). ))Optimizing module cmos_demo. 7.7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \cmos_demo.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 7.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \cmos_demo. Performed a total of 0 changes. 7.7.5. Executing OPT_MERGE pass (detect identical cells). (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgFinding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.7.6. Executing OPT_CLEAN pass (remove unused cells and wires). (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfgFinding unused cells or wires in module \cmos_demo.. 7.7.7. Executing OPT_EXPR pass (perform const folding). (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.styOptimizing module cmos_demo. 7.7.8. Finished OPT passes. (There is nothing left to do.) 7.8. Executing WREDUCE pass (reducing word size of cells). 7.9. Executing OPT_CLEAN pass (remove unused cells and wires). ))Finding unused cells or wires in module \cmos_demo.. 7.10. Executing MEMORY_COLLECT pass (generating $mem cells). 7.11. Executing OPT pass (performing simple optimizations). 7.11.1. Executing OPT_EXPR pass (perform const folding). (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.styOptimizing module cmos_demo. 7.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\cmos_demo'. Removed a total of 0 cells. 7.11.3. Executing OPT_CLEAN pass (remove unused cells and wires). (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/latex/base/article.clsFinding unused cells or wires in module \cmos_demo.. 7.11.4. Finished fast OPT passes. 7.12. Printing statistics. === cmos_demo === Number of wires: 3 Number of wire bits: 4 Number of public wires: 3 Number of public wire bits: 4 Number of ports: 3 Number of port bits: 4 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 1 $add 1 7.13. Executing CHECK pass (checking for obvious problems). Checking module cmos_demo... Found and reported 0 problems. 8. Executing TECHMAP pass (map to technology primitives). 8.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.51/share/techmap.v (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)))))) Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def Document Class: article 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))))))))))))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))Parsing Verilog input from `/build/reproducible-path/yosys-0.51/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 8.2. Continuing TECHMAP pass. )Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=1:B_SIGNED=0:B_WIDTH=1:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.styUsing template $paramod$1d1e68f77481583066c6d429218f48ea9d5739b3\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $mux. (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.styUsing template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $or. No more expansions possible. ) 9. Executing SPLITNETS pass (splitting up multi-bit signals). (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) 10. Executing ABC pass (technology mapping using ABC). (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex 10.1. Extracting gate netlist of module `\cmos_demo' to `/input.blif'.. Extracted 15 gates and 18 wires to a netlist network with 2 inputs and 2 outputs. 10.1.1. Executing ABC. (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty))))))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)))))))) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))))) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)))))))Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 10.1.2. Re-integrating ABC results. (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.styABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. ))))Removed 0 unused cells and 1142 unused wires. 11. Generating Graphviz representation of design. 11.1. Executing Verilog-2005 frontend: ../intro/mycells.v (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texParsing Verilog input from `../intro/mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 11.2. Continuing show pass. Writing dot description to `cmos_01.dot'. Dumping module cmos_demo to page 1. (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex End of script. Logfile hash: 5e6efd8eb6, CPU: user 0.10s system 0.01s, MEM: 14.95 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 61% 2x abc (0 sec), 12% 7x read_verilog (0 sec), ... )))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)))))))))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) ) ) ) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex)))))))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) ))))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) )))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex))Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /docs/source/code_examples/show/../intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Liberty parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Liberty parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/docs/source/code_examples/show/../intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 10.1.2. Re-integrating ABC results. ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 2 ABC RESULTS: internal signals: 14 ABC RESULTS: input signals: 2 ABC RESULTS: output signals: 2 Removing temp directory. (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.styRemoved 0 unused cells and 1142 unused wires. 11. Generating Graphviz representation of design. 11.1. Executing Verilog-2005 frontend: ../intro/mycells.v (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.styParsing Verilog input from `../intro/mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 11.2. Continuing show pass. Writing dot description to `cmos_01.dot'. Dumping module cmos_demo to page 1. End of script. Logfile hash: 5e6efd8eb6, CPU: user 0.08s system 0.01s, MEM: 14.96 MB peak Yosys 0.51 (git sha1 c4b5190229616f7ebf8197f43990b4429de3e420) Time spent: 65% 2x abc (0 sec), 11% 7x read_verilog (0 sec), ... make[6]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/code_examples/show' (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex )))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex)))) ) ) )))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex )))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex )))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ))) )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x)))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex ))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex )))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) )) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex))))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)))))))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))))) For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)))))))) For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))) For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex )) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex )) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex))) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex)))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)))) No file overview_rtlil.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii No file levels_of_abstraction.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def No file simplified_rtlil.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) [Loading MPS to PDF converter (version 2006.09.02).] ) [Loading MPS to PDF converter (version 2006.09.02).] )) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file basics_abstractions.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))))))) [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty No file basics_ast.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) [Loading MPS to PDF converter (version 2006.09.02).] )) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def No file overview_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty [Loading MPS to PDF converter (version 2006.09.02).] )) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty No file basics_parsetree.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) No file basics_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 11. [1 Non-PDF special ignored! papersize=332.32571pt,94.39902pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map No file approach_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1 Non-PDF special ignored! papersize=265.11548pt,137.71072pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 11. [1 Non-PDF special ignored! papersize=477.05351pt,211.8978pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1 Non-PDF special ignored! papersize=470.39511pt,294.14694pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 11. [1 Non-PDF special ignored! papersize=384.5717pt,194.61621pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1 Non-PDF special ignored! papersize=427.40239pt,233.55751pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1 Non-PDF special ignored! papersize=466.24498pt,209.70209pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file verilog_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1 Non-PDF special ignored! papersize=398.7384pt,152.54237pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 11. [1 Non-PDF special ignored! papersize=454.72775pt,87.0827pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./simplified_rtlil.aux) ) }] (./basics_ast.aux) ) Output written on simplified_rtlil.pdf (1 page, 15590 bytes). Transcript written on simplified_rtlil.log. pdf2svg internals/simplified_rtlil.pdf internals/simplified_rtlil.svg Output written on basics_ast.pdf (1 page, 7708 bytes). Transcript written on basics_ast.log. pdf2svg primer/basics_ast.pdf primer/basics_ast.svg }] (./overview_rtlil.aux) )}] Output written on overview_rtlil.pdf (1 page, 17629 bytes). Transcript written on overview_rtlil.log. (./overview_flow.aux) )pdf2svg internals/overview_rtlil.pdf internals/overview_rtlil.svg }}]] (./basics_abstractions.aux ) (./basics_parsetree.aux) )> Output written on overview_flow.pdf (1 page, 15179 bytes). Transcript written on overview_flow.log. pdf2svg internals/overview_flow.pdf internals/overview_flow.svg Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1} Non-PDF special ignored! papersize=312.83241pt,276.60544pt {/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map] (./levels_of_abstraction.aux) ) Output written on basics_parsetree.pdf (1 page, 10896 bytes). Transcript written on basics_parsetree.log. Output written on basics_abstractions.pdf (1 page, 16368 bytes). Transcript written on basics_abstractions.log. pdf2svg primer/basics_abstractions.pdf primer/basics_abstractions.svg pdf2svg primer/basics_parsetree.pdf primer/basics_parsetree.svg }] (./approach_flow.aux) ) Output written on levels_of_abstraction.pdf (1 page, 16544 bytes). Transcript written on levels_of_abstraction.log. }] (./basics_flow.aux)pdf2svg primer/levels_of_abstraction.pdf primer/levels_of_abstraction.svg ) Output written on approach_flow.pdf (1 page, 15288 bytes). Transcript written on approach_flow.log. pdf2svg internals/approach_flow.pdf internals/approach_flow.svg Output written on basics_flow.pdf (1 page, 14279 bytes). Transcript written on basics_flow.log. pdf2svg primer/basics_flow.pdf primer/basics_flow.svg }] (./verilog_flow.aux) ) Output written on verilog_flow.pdf (1 page, 9528 bytes). Transcript written on verilog_flow.log. pdf2svg internals/verilog_flow.pdf internals/verilog_flow.svg make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/_images' make -C source/_images convert make[5]: Entering directory '/build/reproducible-path/yosys-0.51/docs/source/_images' TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_03.pdf code_examples/intro/counter_03.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_00.pdf code_examples/intro/counter_00.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_01.pdf code_examples/intro/counter_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/intro/counter_02.pdf code_examples/intro/counter_02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_00.pdf code_examples/selections/memdemo_00.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_04.pdf code_examples/selections/sumprod_04.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/select.pdf code_examples/selections/select.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_05.pdf code_examples/selections/memdemo_05.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_01.pdf code_examples/selections/sumprod_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_00.pdf code_examples/selections/sumprod_00.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_03.pdf code_examples/selections/memdemo_03.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_02.pdf code_examples/selections/submod_02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_00.pdf code_examples/selections/submod_00.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_01.pdf code_examples/selections/submod_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/submod_03.pdf code_examples/selections/submod_03.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/selections/sumprod_05.pdf code_examples/selections/sumprod_05.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffs.pdf code_examples/fifo/rdata_map_ffs.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_memrdv2.pdf code_examples/fifo/rdata_memrdv2.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_proc.pdf code_examples/fifo/addr_gen_proc.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ram.pdf code_examples/fifo/rdata_map_ram.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_wreduce.pdf code_examples/fifo/rdata_wreduce.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_adffe.pdf code_examples/fifo/rdata_adffe.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mymul.pdf code_examples/techmap/mymul.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/addshift.pdf code_examples/techmap/addshift.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share.pdf code_examples/opt/opt_share.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/extensions/test1.pdf code_examples/extensions/test1.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_first.pdf code_examples/show/example_first.dot %PDF-1.7 % 4 0 obj << /Length 5 0 R /Filter /FlateDecode >> stream xVMo0 WCrJRa[.CCѦ-f@ðQd'Q)I!Gv^j\.ZCV÷pqKVyRWp $ F' &3)9#,~bj#űiQm=m,DDf>@5%`Wޫz>mϔ J)y^w8s87h٧sy8JD?*E'pՇ&h}T]$5r\vkz)ngs`ĖC6ȁ1zǤʊ$R-,9-DsF'%apVc,3II[HWALڳMВVQN6J>^(yjc@@2P>tT^@U{r܉㠬ޞ/xfD%nEEs7]-b/C<^L"r~Oѓ; `2:0_@Y$ǡ)z! ت7+t/xוA4 wm$p(9z)|4+:eu:ĘHmR?*D}%ƭ½'*ҝubib\-F m_v VR:ЕB)SV{d^]UPh jĮrk/]ѩ&} endstream endobj 5 0 obj 727 endobj 3 0 obj << /ExtGState << /a0 << /CA 1 /ca 1 >> >> /Font << /f-0-0 7 0 R >> >> endobj 8 0 obj << /Type /ObjStm /Length 9 0 R /N 1 /First 4 /Filter /FlateDecode >> stream x3S08] endstream endobj 9 0 obj 16 endobj 11 0 obj << /Length 12 0 R /Filter /FlateDecode /Length1 5752 >> stream xX{xUNݪWU?yA7 C$1 & dtPcSh|EGAtAvG _ȧƤqvۿv{s߹݄BdBx]6B/uoZo[B>o2BGXrC_^(]gqTlI(wO!D)º15XQA9f[PnEyʺ{2S{PjIc=_˂(e6_!j։ڊ$Q*J' }rB_S~H|m1~_VgMZaϜ@ mJ+9}wluVYl\#ּD;4q9ʍ*d)UZR8J %.1'o=\Dw$.IIVJZ>Bp₁1N5hţ}ţGb^<qPx}[׮ݩwN}_?-=,z9WEO 6fS?uƆ-/3 7.yN9TN R'J"U$цYIgs$_',AU6Yq%V ʅߨ!}>tĬ®{"n|!Ѫ/e9(N6bYB5˖:JRI}&ZEAAMqz8Ӝ{ЕcC͝\ w۔0i8Lav .dZfXgr+uNRgPdƧf0b%6_#DTϯ  Li `_R*E TӋ}8KS}_/w7|v7/ۚÌԾֽqG۝ۨ"Z`u}U_&߷1;,X07N]Z^՞ZҨl}`&v{׮8dB:l0lxʄ]CLά\D#>nDT4m}J\dyHqJ5',-EB8(E/ow9T:jAP+@*v c3Ck{[w޽u/ҫt8tVo}ן~e Bپ ϶jSRTEd_YD3)<ej7 f:hfMV9[͙dpnBod7(QcJԿ(ň@*!D⨁##wkn⾉(fuY/圿ECcHSEpZ-7zYM,`M%ŢXs0n8^k'O2X&YJl쓔i B"" sRcV5 RhmF^5v"aM]7`+<]G=M_کOz3%purwwЅ0*~d2[Y\n%kP2º^uY-"S d:)?*FFͯ~(&J&isMS1q239_0"1lC;z- <^^U`1r|_ x }jnJmTwQ[w*QF6aZN7=YHowY߈aNM:"ӇH'HN& *] y)_+uavo$v;c4΃* BX*̙\/fmoKqws|&=k o5j:0NL᭙֍REa>0v9WW*]FKc݅^Ev] $%w_W~J~ެ ]Zvs֩UeXWX?,?vʸ{='^;kwo>|$}J3<-S|7 +~ KS!O!US!IP!gM(x> stream x]Qn >nUB%>Դ"5rj+3<!{wärWaE JK-aYF<.V9dܼ;+>0ޜB ˘Jn.h> endobj 7 0 obj << /Type /Font /Subtype /TrueType /BaseFont /TYZBZV+DejaVuSerif /FirstChar 32 /LastChar 122 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 0 0 0 591.796875 0 0 0 0 0 0 0 0 644.042969 0 0 640.136719 0 0 0 0 0 0 0 564.941406 526.855469 ] /ToUnicode 13 0 R >> endobj 10 0 obj << /Type /ObjStm /Length 18 0 R /N 4 /First 23 /Filter /FlateDecode >> stream xUAk0w)EMtmw=RJAܞZz1b$ji4o$i@ ҀE@,Fa0EvHsF DU7qr&S#Z;C̴lJnb(ZтYY>ДҔ{Տ6L $;4u = MjQ1]l0?I]T\瓇.0j\d rD7W1˾T=}9'n endstream endobj 18 0 obj 272 endobj 19 0 obj << /Type /XRef /Length 79 /Filter /FlateDecode /Size 20 /W [1 2 2] /Root 17 0 R /Info 16 0 R >> stream xc``D012{2002 fc%n n,@BR"] bJSA3q endstream endobj startxref 6855 %%EOF TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/cmos_01.pdf code_examples/show/cmos_01.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/cmos_00.pdf code_examples/show/cmos_00.dot %PDF-1.7 % 4 0 obj << /Length 5 0 R /Filter /FlateDecode >> stream xV;o1 +4t+$E( Kdp&A M%sԹ b2ED BaB2{d! F_>ڳ-۟/BH18J>ؔf B2FdzJ\;3|bO|cs3" <$vsc|،=Xх,G}E賘~IQB<̵f:f4EsEk.¬EHI8!XDv{2-v*7Ai.(1dq@0$ ":"CQ8q,zz>r AFW7c0K6SbNˬոRaC%1;УCyQ^(ЇϣȢ2%Z|-jocf Hc-K{`8 a(g`(#Q?/f)Ӯ^ ~c7|,ޒw8$sԥK[XF `Vzu@_nJ=Poe8}kS^ endstream endobj 5 0 obj 809 endobj 3 0 obj << /ExtGState << /a0 << /CA 1 /ca 1 >> >> /Font << /f-0-0 7 0 R >> >> endobj 8 0 obj << /Type /ObjStm /Length 9 0 R /N 1 /First 4 /Filter /FlateDecode >> stream x3S08] endstream endobj 9 0 obj 16 endobj 11 0 obj << /Length 12 0 R /Filter /FlateDecode /Length1 5532 >> stream xW{tU{INA !  ؄CL!0 „( :Ȏը wfQGzNqfYFRٯ:83;s[~}}*B !2i'@|w4lz`;*.",yoɣW qB4i1B_=knI;Zp̸+#yy!_ ʇPάmRcPExm+ )ǵd5Ing˫ʫFbBbׂ~_ȵ0F|,9ÿe{XzMEdikA~_`o1j{"$s/p8Id$WcB(tE\XR4pwZ8]b=Smme}O%%RU2,^OBIh[+KS:n|tl$E vS{T8{O-:rsk=C=>=kȪwrr`a1.~+p "TXKԈ;*UUTjs҈qkASfq%n¿if@ʮ'.c ,UybH䟛?)quaIlUz8Sq:Y6϶d;|-e"U/CT~(cB104syD|m[H}?FL7jdA߭D#d.6J^1q4J[],ҤLN(\RK%Koӵ)߼5n-C}LS@9FV:*V;:WW,OJSS +ʮf_ffh[. 0Z q.Dk0#Jz#[=ر}ѓt ""Dy7.}G RZOw[DT9">T D \:m& 8,\5[5AQܙIU/\]Ct_x$-eڟsCF 1tL@:8M ̅7//^WBO5O뇺?t!!C\!N DRV:&'&ZR- IEyO/aH͐gfgxfx9.zERji3o%ٍ{ƍצгr죗7=zfSL|OF|^Mz5w!k![vFJBWcY؄7Ț &溼Ͽ,9:u&*6Ӻ{؋zt!xcpx}Edn{>Ƌ&0ۆVRЗ/RrTl PhEZ.Yt"@Zg )]Bw=&xYӞXC8k&Ձ_3Gs}'EU Z3PT3piDW9\9זd9Ǩtpo̷,ˋm4"TjKDl&h |edsѹQm֚SG5f#VFV);ƚ>7X~vѼt XtGvKl< o!n,{|b 7c$gHC*Y$&ZKDd(rhD1 aÈo#4>ݍlyP# U%=0P3T#L_ 54ї$~z1r NT(d J VzpN7W{̟1qk֏} T%%[e<d=fmLy/dK\5qۤ`?,|) ]dU}18/l". 0>?rGI)ϓN췀e>4*Ų_/ʦ./"w(<=9tTC Dz fvj,YRoA؂_ 9,u5-`.%2[m1Fa(,i"ay\-~!8+ңZ{m /D*zf_J6/=`w5^.ȟ3N@JQ?YGτV8ԗCwP41BGH#DKkM #ΒU~ h {>dL_89~K y+$.J$ьbBCk #G֩$Fe+^52Y,^q/e#RUm g=< l/N=gx{vW=g`O;۽+wWnBvaߙ;{av;p~1lO{:{6hDx֪|[2lmS)p' [ 5haSo捩Saڐ ˀuM΀&4鬱 l(wCC9*mgvYB]^g@*^{jٚ _SktVi D"pVҀڀpU,`K*Ҁ2`̀X[C0'8a 4#0+gu* 3\0]d+i* ni*ܠKfP x\RS@dyLgevIU &j0 |Rƕ8d^XdgEI ( Xa P /<ϟyDtF 'hXv1d#ˀ1 H* xBఀ :˰ߗUq_h\lY :K @FeBJr1OY8kr1$&znpa?0*>iD`b'p$Cgvl( c XE[= j`!nix?UNzt!h/K^m߼, endstream endobj 12 0 obj 3866 endobj 13 0 obj << /Length 14 0 R /Filter /FlateDecode >> stream x]n E|ňTM7YL!E<`ߋe0pуF:\ +Nڐ?Ni303Wv8=軓贙}sjܬ=HTWn@> endobj 7 0 obj << /Type /Font /Subtype /TrueType /BaseFont /MEIMLG+DejaVuSerif /FirstChar 32 /LastChar 122 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 0 640.136719 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 564.941406 526.855469 ] /ToUnicode 13 0 R >> endobj 10 0 obj << /Type /ObjStm /Length 18 0 R /N 4 /First 23 /Filter /FlateDecode >> stream xUj0y)F 2QJ)UK!'P$t޾S望P$(%*oI n )t\Pтahg.4ΤV}rk'MM5Z0+0+44IӄZ{ՏL Z%;4q许wIyBUB7tvTLދ_7 guS5[rѝ̞w¨Ysa=n5qoYvo9/n endstream endobj 18 0 obj 272 endobj 19 0 obj << /Type /XRef /Length 79 /Filter /FlateDecode /Size 20 /W [1 2 2] /Root 17 0 R /Info 16 0 R >> stream xc``D012f``ḓ 1 Kl`Xjk>@Bt X 1D03JŤ endstream endobj startxref 6727 %%EOF TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/splice.pdf code_examples/show/splice.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_third.pdf code_examples/show/example_third.dot TZ='Z' faketime -f '2022-01-01 00:00:00 x0,001' dot -Tpdf -o code_examples/show/example_second.pdf code_examples/show/example_second.dot pdf2svg code_examples/macc/macc_xilinx_test1d.pdf code_examples/macc/macc_xilinx_test1d.svg pdf2svg code_examples/macc/macc_xilinx_test2a.pdf code_examples/macc/macc_xilinx_test2a.svg pdf2svg code_examples/macc/macc_simple_test_02b.pdf code_examples/macc/macc_simple_test_02b.svg pdf2svg code_examples/macc/macc_simple_test_00a.pdf code_examples/macc/macc_simple_test_00a.svg pdf2svg code_examples/macc/macc_simple_test_02a.pdf code_examples/macc/macc_simple_test_02a.svg pdf2svg code_examples/macc/macc_simple_test_01b.pdf code_examples/macc/macc_simple_test_01b.svg pdf2svg code_examples/macc/macc_xilinx_test2b.pdf code_examples/macc/macc_xilinx_test2b.svg pdf2svg code_examples/macc/macc_xilinx_test1c.pdf code_examples/macc/macc_xilinx_test1c.svg pdf2svg code_examples/macc/macc_simple_xmap.pdf code_examples/macc/macc_simple_xmap.svg pdf2svg code_examples/macc/macc_xilinx_xmap.pdf code_examples/macc/macc_xilinx_xmap.svg pdf2svg code_examples/macc/macc_xilinx_test1e.pdf code_examples/macc/macc_xilinx_test1e.svg pdf2svg code_examples/macc/macc_simple_test_00b.pdf code_examples/macc/macc_simple_test_00b.svg pdf2svg code_examples/macc/macc_xilinx_test2d.pdf code_examples/macc/macc_xilinx_test2d.svg pdf2svg code_examples/macc/macc_xilinx_test2c.pdf code_examples/macc/macc_xilinx_test2c.svg pdf2svg code_examples/macc/macc_xilinx_test2e.pdf code_examples/macc/macc_xilinx_test2e.svg pdf2svg code_examples/macc/macc_simple_test_01a.pdf code_examples/macc/macc_simple_test_01a.svg pdf2svg code_examples/macc/macc_xilinx_test1a.pdf code_examples/macc/macc_xilinx_test1a.svg %PDF-1.7 % 4 0 obj << /Length 5 0 R /Filter /FlateDecode >> stream xWMo7 WЃ}XEc ^ nCm}9;30 g9)>%w c)t1Δ!7I$0:w!_}7Go|w]< 4|m'ШE:CwX.{O$Yd( ݟC<?}t7nO_ a/c(Jmu ՏQU:{ 쇭kI3{30hoAS8%Ьլ8_dn~=}z1TUd ~ 9E4oELoJlд!aa%pBǀE/zpe =.g03 BNWG59C!O@ǐ!7`|!78dd$ke+J){9> y{'< ͙C`c`<V_),U`i5:ХZ!lt> >> /Font << /f-0-0 7 0 R >> >> endobj 8 0 obj << /Type /ObjStm /Length 9 0 R /N 1 /First 4 /Filter /FlateDecode >> stream x3S08] endstream endobj 9 0 obj 16 endobj 11 0 obj << /Length 12 0 R /Filter /FlateDecode /Length1 7004 >> stream xX{xyٝٝKv,$l!Ȃb &b$M AQ= (iETm1b@VZhxxz8>63y2ݿwB(!D$w_<8!J;!ܭw65 !˄VqU*WNl?Ab;"Ya&_űU8I qO~Z//~;Gw4kX>⫿ke}ӗ#Z_Ɏ!25r'9'\W~_ɓ GZ L#Yu:ΉKXigyӿ'3igE<ǧزv7%&3Cҏ7Bʐ(,Hr/?VR BGan8MɬlN[h|?7cc<}^[9-)&+&/4٩2MM?ir?8RӼP |sێuǴcӺkNлۿu=򊧗zM-ug*n3ݏ>SakdPA=K-<.0"3C唈ٍ!pYY񕬜M1IC93AUM2 NX] ScCQ  <6$?NYʩt;Sl,ݔnK,SQ,(:ڧ#'0iζ(#5n}ұ Տ$3SS4"Yd.ѧXB1t3*sM0f;]Vd$?kwsvbp ie;0 ΐ2pe*hCϱ9Vv,];/+7kQV}V{+ zK8,[ZyIHn&離L) 7R5ÖgLERV(&%)SRKi6/W/I)ˤ2kW/KZjZZcIkSS[Vj &Z)R z856*$N}b tՒbRƝ]NpgTRUZ?g_{Ry!r>ƛVd \Z&@Gjx4kJϜm cylgqzv/=.wreaU :SBC! - Kš塺н: n v9RNlNo,Ԉn#NFxD ?c5nݥvҀzi3hL k=[S0b^96+'EU#QJDA0saH풞L+XU)*gq iHV._Gp0g[Վ17qy=%,30r"8Y-.[J֋fb 65+VŖ)dsb"seX,Zb[\l/VXJ2iuUWʫU4 MflБiĨ0.)HHOuj/D9IM͵cm}b ލ~x#Ɖ0췺Fw%W]6k,i, uHLl#Æs9}EMiS#L"@RS}ͻUQYEU5^Fw?k~- ~pF,jVw<sgr_/wဟn IqdkI\ap{|'VarS+$KѓSSQ:.-MGqmOɏUczG},:\(m{˿}g/8$b|<l{-P/zkʌG/l 䞪K@ڨ$_!{\ }/ֱo=?{8_=_ſЊ_o6~-/oPCMװH%I7?"Y/8.׾IziBs˳xN}+MVQz"l-2vt^G_ckdyu҅n"oc'gȻt 9F"m3#D`o rq>eo!v(yO?6:N3MP9 Lբd+XFe7ǵc׹۸ >HO='4)/n""/7Y1ܶ%8 y)T6X'܈cF6ނqO%wK}gMקǶ;2ge=,>M?>{R_ϫg:b-.Ŧa=k<1P%o9>I;7o?sHy}3vZ"!j߹Z36GQbyR^'kY+yŒ|$߼pl7K&>m;g^6~!HR-NBԲbߖo/!rX*,rȠ^!Kr^8%{1E mpF<=g] 'd\vrz5x ~t~Vx<?cIp, ~̾fuxX&IV؃pXud8ƃ.8w}6vᰅw`_/%^ֵaۣž0߉"}uh{W9}vvخrw"];cv$ޑ]x|/=]pk`s6{`S6Mv vh *4CSckAc4আ$X]۠>׵ٚX=jkVv 5a>WF4֠jU9: U[U|eV⢕nXT,*4X>nנ\6UYP-,= 7k87!X;[߱Í33 G$J`^:afs"Y;٬~®w͐ l.|qLdz aT^'.ʡp 0EL0s| &9$DD D ıY3r $ nΏD.rLd +g"He3  Kυ VA A吖`i!: 5̧cr%>>/KV!O!)'BeS=A,^Ankt2 \asūj`;od lx-laު)<D\#%fAef*Xڀ>\[r"@44f}6?*i+ endstream endobj 12 0 obj 5017 endobj 13 0 obj << /Length 14 0 R /Filter /FlateDecode >> stream x]Mo C4ue)8B\ukS9x1h נxNT5mW= ^$%ԹqJAAK +l|[0N"mHv/&"s-2>WP}Wҳ.(TYƱ̿jϒ󨿇 (,)cek}P.3oR4cϑ}IM23גZ2:BzyikԲ<ܫ%>O?/5؏ endstream endobj 14 0 obj 298 endobj 15 0 obj << /Type /FontDescriptor /FontName /GFSUSQ+DejaVuSerif /FontFamily (DejaVu Serif) /Flags 32 /FontBBox [ -769 -346 2105 1109 ] /ItalicAngle 0 /Ascent 928 /Descent -235 /CapHeight 1109 /StemV 80 /StemH 80 /FontFile2 11 0 R >> endobj 7 0 obj << /Type /Font /Subtype /TrueType /BaseFont /GFSUSQ+DejaVuSerif /FirstChar 32 /LastChar 121 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 685.058594 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 560.058594 640.136719 0 0 0 0 0 0 0 0 948.242188 0 0 0 0 0 0 0 644.042969 0 0 563.964844 564.941406 ] /ToUnicode 13 0 R >> endobj 10 0 obj << /Type /ObjStm /Length 18 0 R /N 4 /First 23 /Filter /FlateDecode >> stream xUQk0+@_ԤmEP2@:b0ı%v,~snBZ`>bS]a8^ђA5Qccu9^KGC&ԏK,/yG]jPNj>{_`,׮rZ~Bǃ.4jBl'7(ݸ^-Rm;|Sn endstream endobj 18 0 obj 272 endobj 19 0 obj << /Type /XRef /Length 79 /Filter /FlateDecode /Size 20 /W [1 2 2] /Root 17 0 R /Info 16 0 R >> stream xc``D012002 ~%}ĝ$XEKHH*+ !D" b  endstream endobj startxref 8072 %%EOF pdf2svg code_examples/macc/macc_xilinx_test1b.pdf code_examples/macc/macc_xilinx_test1b.svg pdf2svg code_examples/intro/counter_03.pdf code_examples/intro/counter_03.svg pdf2svg code_examples/intro/counter_00.pdf code_examples/intro/counter_00.svg pdf2svg code_examples/intro/counter_01.pdf code_examples/intro/counter_01.svg pdf2svg code_examples/intro/counter_02.pdf code_examples/intro/counter_02.svg pdf2svg code_examples/selections/memdemo_00.pdf code_examples/selections/memdemo_00.svg pdf2svg code_examples/selections/sumprod_04.pdf code_examples/selections/sumprod_04.svg pdf2svg code_examples/selections/sumprod_02.pdf code_examples/selections/sumprod_02.svg pdf2svg code_examples/selections/select.pdf code_examples/selections/select.svg pdf2svg code_examples/selections/memdemo_05.pdf code_examples/selections/memdemo_05.svg pdf2svg code_examples/selections/sumprod_01.pdf code_examples/selections/sumprod_01.svg pdf2svg code_examples/selections/sumprod_00.pdf code_examples/selections/sumprod_00.svg pdf2svg code_examples/selections/memdemo_03.pdf code_examples/selections/memdemo_03.svg pdf2svg code_examples/selections/memdemo_02.pdf code_examples/selections/memdemo_02.svg pdf2svg code_examples/selections/memdemo_01.pdf code_examples/selections/memdemo_01.svg pdf2svg code_examples/selections/sumprod_03.pdf code_examples/selections/sumprod_03.svg pdf2svg code_examples/selections/sumprod_05.pdf code_examples/selections/sumprod_05.svg pdf2svg code_examples/fifo/rdata_memrdv2.pdf code_examples/fifo/rdata_memrdv2.svg pdf2svg code_examples/fifo/rdata_map_gates.pdf code_examples/fifo/rdata_map_gates.svg pdf2svg code_examples/fifo/new_cells_show.pdf code_examples/fifo/new_cells_show.svg pdf2svg code_examples/fifo/addr_gen_proc.pdf code_examples/fifo/addr_gen_proc.svg pdf2svg code_examples/fifo/rdata_map_cells.pdf code_examples/fifo/rdata_map_cells.svg pdf2svg code_examples/fifo/rdata_flat.pdf code_examples/fifo/rdata_flat.svg pdf2svg code_examples/fifo/rdata_map_ram.pdf code_examples/fifo/rdata_map_ram.svg pdf2svg code_examples/fifo/rdata_map_ffram.pdf code_examples/fifo/rdata_map_ffram.svg pdf2svg code_examples/fifo/addr_gen_show.pdf code_examples/fifo/addr_gen_show.svg pdf2svg code_examples/fifo/rdata_wreduce.pdf code_examples/fifo/rdata_wreduce.svg pdf2svg code_examples/fifo/rdata_adffe.pdf code_examples/fifo/rdata_adffe.svg pdf2svg code_examples/fifo/rdata_map_luts.pdf code_examples/fifo/rdata_map_luts.svg pdf2svg code_examples/fifo/rdata_alumacc.pdf code_examples/fifo/rdata_alumacc.svg pdf2svg code_examples/fifo/rdata_proc.pdf code_examples/fifo/rdata_proc.svg pdf2svg code_examples/fifo/rdata_coarse.pdf code_examples/fifo/rdata_coarse.svg pdf2svg code_examples/techmap/red_or3x1.pdf code_examples/techmap/red_or3x1.svg pdf2svg code_examples/techmap/mymul.pdf code_examples/techmap/mymul.svg pdf2svg code_examples/techmap/addshift.pdf code_examples/techmap/addshift.svg pdf2svg code_examples/techmap/sym_mul.pdf code_examples/techmap/sym_mul.svg pdf2svg code_examples/techmap/mulshift.pdf code_examples/techmap/mulshift.svg pdf2svg code_examples/synth_flow/techmap_01.pdf code_examples/synth_flow/techmap_01.svg pdf2svg code_examples/synth_flow/memory_02.pdf code_examples/synth_flow/memory_02.svg pdf2svg code_examples/synth_flow/proc_01.pdf code_examples/synth_flow/proc_01.svg pdf2svg code_examples/synth_flow/proc_02.pdf code_examples/synth_flow/proc_02.svg pdf2svg code_examples/synth_flow/proc_03.pdf code_examples/synth_flow/proc_03.svg pdf2svg code_examples/synth_flow/memory_01.pdf code_examples/synth_flow/memory_01.svg pdf2svg code_examples/opt/opt_merge.pdf code_examples/opt/opt_merge.svg pdf2svg code_examples/opt/opt_expr.pdf code_examples/opt/opt_expr.svg pdf2svg code_examples/opt/opt_share.pdf code_examples/opt/opt_share.svg pdf2svg code_examples/opt/opt_muxtree.pdf code_examples/opt/opt_muxtree.svg pdf2svg code_examples/opt/opt_expr_full.pdf code_examples/opt/opt_expr_full.svg pdf2svg code_examples/opt/opt_merge_full.pdf code_examples/opt/opt_merge_full.svg %PDF-1.7 % 4 0 obj << /Length 5 0 R /Filter /FlateDecode >> stream xXMo#7 W`HQ_( ,C_`M">4%9ҌYa#!%`1ޅ. @X pg_Gf?V)y ⠐%t]%fVq6Ѥsp?}r{$jNObT6P`>o[{3lo3>%̧U ޥaq 0f`rИ h/m`il9>C@xArUn6yU''RE!LR QHX5BbEt+1W+9ڸ5u*/w^WJ4JN=pDK==\O- wϋI5t1s˿ .oo@ƃfGzO=iQaۄqa|2h#Lu|Y=eOe#`҄k{ff jP+Φ0)4X|PVKAB2aB, C憬ֻUlRB(χ&cӓXYy$;lT&I(%z\4ڤ#V1.26쌴y qA#42L?Vi9 >r5v EPfNPL\ꂏtQa=Ht)&[9tmʓxH9 2o֡9$ԋEV1iHT>m5vO?j endstream endobj 5 0 obj 1082 endobj 3 0 obj << /ExtGState << /a0 << /CA 1 /ca 1 >> >> /Font << /f-0-0 7 0 R >> >> endobj 8 0 obj << /Type /ObjStm /Length 9 0 R /N 1 /First 4 /Filter /FlateDecode >> stream x3S08] endstream endobj 9 0 obj 16 endobj 11 0 obj << /Length 12 0 R /Filter /FlateDecode /Length1 7576 >> stream xXy|U~t$:g(01:+!E025B`F7 Bd83x਻1]:sUPB@ [z% qͻem`G qStEgvl[X߅sXzb9u! grΨ\\iؾylY[_ IJv` Xc #aT-|kCjįX7MjbO.u!K.)(e`On,-Fx \"*yAVZm n5*9#t[ a {k]C4zU쑜EECH^U?=_HEER(_kWuN.e蒻<]ΤN_VJKia( =a.@i(}`a¡q*K r͵˛;Զ1U>voXXV}Fg~_ŗO|W9+ p}Gvp;H' ̡w3T4x[M4q{ |a,&#@exgWnČF}ٗO4}zW$ 'Aaw8Alam="8 ʹbA@ dP{nN]Ϲ7?:pK%%ΪzT#dCMD"dN5֘e;Ej:EHR3ٓy{0uGF5BtmwwGO) FiZBJE"Pa0c*|Ҝ~e=m6U]u4ǟwz9~Oܼ%Hի:~>^@ldP 6@>q]dA$4.HNEʣB`F3;H 墚vZv="_AgAFwuG/u=_;;%K0ؑJ+ ƾaåIkӰ1h0J!N,[-UWb N,n]չ/>QXR6wuqQxTS٭gԪ^3 R{k7ѷ[?+Р0?ϮVm`)3,2lxYK]]74=EuI莺 himM}_CpA=|գhh)ddddB7xM F,[PҪ+vIVuWz[wQhg8֡-]-ٺv}'0vfhVKjkZnXAC$lGl g,`ɛ+u .A&ej1j9=tw}F>9=tgG/DK@zaOs61/hiTG> |ֵ۰ׯy8yEyv; F]_d!G*vd;o#;7fKcpČ+| %+67dsrD, :vS?7 Ǿ)JfI}{LI{&gR"kЇFԿB:=);e7R/|" _BSEwlFcJX^8]ß>cE$n2%:0O\'&.)l}⵫ iѥ'?Ğc2B$"9D^d,zDWBNWDY(^%Iƭ9mr8C)F/>]/>,zMNWYȉdb͜y{7=N+˽R}vÜETٿGsG7I&3T$>Ú\$ًRG MY[٦M L NK/J,%[I$X7W Ֆjk*:PN_-z{}Z}>X&45=PQ5*f$RFE>>rv̉^9c~z2YDe}T:yySuQ>W9كWՍ>CM:S6sʂ4WswA#,9sP@B`u $Ni_oq^ tG6MG`AZ Co|xGLK G;J{YرG7mz̶Dܦ/_عkXc0<]6ސC"np]ց`Œu)1KcMLk0;#q"فpFd`a@Xsh7qEjYhY&h=ʾu! ҟ,LE2؋ RaWkk d-P3g`>7$mA_.L [>1P(e"nW`*F';$ϣ%\ pC]8z&iBc%&d-]˭5UZGX+պW+=+|++7-&Kum}c{ѷѿ1nφu$17;fz6U[f^YmUU*u8Ǫg=]U@ ڗ*+ṙL%":Ggj ŝ> F^ iߐH+xC Nj=};]R(e໗HԭG{{@&:;X.bV'&ҊOIo ϴIyVhty)Oq~[|}~x[E8YFĭC^#G:{;e,py'm=@rR8=ܣ{M8y WoK,z'C-F9za"N68_%: 8痼eazx?B HT9~)7tt dCoH! 3̤qLU_10#˜kW(U̍M3 dVmMoV1Jـv4󙡏oȌYŁ'M_uRD]U?ib.QO-+O9FI3va/~77G1}MFh°a)H)͍o\|S.8וweOlp0;7Y gNZW(ꆎ( ?R ۧ>p^RE;p^p+<bl*Ebi-vd&Xk&E`89P"{"q;ۗ ao#b,<{΄];ml vuPE1;ND?*$l¶K]ᛱ<dM*lZʶm-lk)lU-HזLؼIbSasG_o`n!z<Y1c*:<`gFkl Pk$X-VV`ŗjSa l-Sa *|M,Y<-cʪEn䫬Pq2 *OE*T.,e'_XBȄ8iA/bp UO\p *3ny*LbRaI cp¬lV p`ZMkQ"N l&b "[+f6Mq-0eco1l =J`0ZG 0FB9am0L¡nVꆂ \bfQ 1C~^˟yeyT ap#kYd 9nQl$=;YYx ʇ0>*  $Y !'˘ !|-䄐§ HbRI,N> 7K O USB03l᪾|HRzU Lnp+N< WRAć8H8fW ؒV,ذ(#@9Bc`2Je`񹀋r@T46Q5f# endstream endobj 12 0 obj 5439 endobj 13 0 obj << /Length 14 0 R /Filter /FlateDecode >> stream x]n0 Ew}t  eAv})1H6{ s {u+֙| au(XN鯧ދݶ8nR}PqY'3 { u};NuWpBB. %5T'۟se:|%=\|1Byj' P iS|H\2!1*TQ#9/c~LL4쭢X%@\34Ȓ52i4OTUdiXcb9^Gwu@cM M󌓴;Bw endstream endobj 14 0 obj 308 endobj 15 0 obj << /Type /FontDescriptor /FontName /PDZHUI+DejaVuSerif /FontFamily (DejaVu Serif) /Flags 32 /FontBBox [ -769 -346 2105 1109 ] /ItalicAngle 0 /Ascent 928 /Descent -235 /CapHeight 1109 /StemV 80 /StemH 80 /FontFile2 11 0 R >> endobj 7 0 obj << /Type /Font /Subtype /TrueType /BaseFont /PDZHUI+DejaVuSerif /FirstChar 32 /LastChar 120 /FontDescriptor 15 0 R /Encoding /WinAnsiEncoding /Widths [ 0 0 0 0 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 636.230469 636.230469 636.230469 0 0 0 0 0 0 0 0 0 0 0 0 0 722.167969 734.863281 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 685.058594 0 0 0 0 0 660.15625 0 0 0 0 0 0 0 596.191406 640.136719 0 640.136719 591.796875 0 0 0 0 0 0 319.824219 948.242188 0 0 0 0 478.027344 513.183594 0 644.042969 0 0 563.964844 ] /ToUnicode 13 0 R >> endobj 10 0 obj << /Type /ObjStm /Length 18 0 R /N 4 /First 23 /Filter /FlateDecode >> stream xUQk0+@_X"}Bc vO{10ı%v,~snBZb( }Ħ|&U: -!W| Gj-(DU?sq&MSR X;]:h6]$7C/hTcì@,3*sՏL  MԏKR(yG]jPUNj6{_`,֮vF|K.CN5k. 6wϓvn[f}ᶝ{rN yn endstream endobj 18 0 obj 272 endobj 19 0 obj << /Type /XRef /Length 80 /Filter /FlateDecode /Size 20 /W [1 2 2] /Root 17 0 R /Info 16 0 R >> stream xc``D01e``d,@b@0`s-HH !H2ALǎb7x endstream endobj startxref 8665 %%EOF pdf2svg code_examples/opt/opt_muxtree_full.pdf code_examples/opt/opt_muxtree_full.svg pdf2svg code_examples/opt/opt_share_full.pdf code_examples/opt/opt_share_full.svg pdf2svg code_examples/extensions/test1.pdf code_examples/extensions/test1.svg pdf2svg code_examples/show/example_first.pdf code_examples/show/example_first.svg pdf2svg code_examples/show/cmos_01.pdf code_examples/show/cmos_01.svg pdf2svg code_examples/show/cmos_00.pdf code_examples/show/cmos_00.svg pdf2svg code_examples/show/splice.pdf code_examples/show/splice.svg pdf2svg code_examples/show/example_third.pdf code_examples/show/example_third.svg pdf2svg code_examples/selections/submod_00.pdf code_examples/selections/submod_00.svg pdf2svg code_examples/show/example_second.pdf code_examples/show/example_second.svg pdf2svg code_examples/selections/memdemo_04.pdf code_examples/selections/memdemo_04.svg pdf2svg code_examples/selections/submod_02.pdf code_examples/selections/submod_02.svg pdf2svg code_examples/scrambler/scrambler_p01.pdf code_examples/scrambler/scrambler_p01.svg pdf2svg code_examples/scrambler/scrambler_p02.pdf code_examples/scrambler/scrambler_p02.svg pdf2svg code_examples/selections/submod_01.pdf code_examples/selections/submod_01.svg pdf2svg code_examples/selections/submod_03.pdf code_examples/selections/submod_03.svg pdf2svg code_examples/fifo/addr_gen_hier.pdf code_examples/fifo/addr_gen_hier.svg pdf2svg code_examples/fifo/rdata_map_ffs.pdf code_examples/fifo/rdata_map_ffs.svg pdf2svg code_examples/fifo/addr_gen_clean.pdf code_examples/fifo/addr_gen_clean.svg make[5]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/source/_images' make[4]: Leaving directory '/build/reproducible-path/yosys-0.51/docs' make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs' make -C docs latexpdf make[3]: Entering directory '/build/reproducible-path/yosys-0.51/docs' sphinx-build -b latex -d build/doctrees -W --keep-going source build/latex Running Sphinx v8.1.3 loading translations [en]... done making output directory... done checking bibtex cache... out of date parsing bibtex file /build/reproducible-path/yosys-0.51/docs/source/literature.bib... parsed 23 entries building [mo]: targets for 0 po files that are out of date writing output... building [latex]: all documents updating environment: [new config] 353 added, 0 changed, 0 removed reading sources... [ 0%] appendix/APPNOTE_010_Verilog_to_BLIF reading sources... [ 1%] appendix/APPNOTE_012_Verilog_to_BTOR reading sources... [ 1%] appendix/auxlibs reading sources... [ 1%] appendix/auxprogs reading sources... [ 1%] appendix/env_vars reading sources... [ 2%] appendix/primer reading sources... [ 2%] appendix/rtlil_text reading sources... [ 2%] bib reading sources... [ 3%] cell/gate_comb_combined reading sources... [ 3%] cell/gate_comb_simple reading sources... [ 3%] cell/gate_other reading sources... [ 3%] cell/gate_reg_ff reading sources... [ 4%] cell/gate_reg_latch reading sources... [ 4%] cell/index_gate reading sources... [ 4%] cell/index_word reading sources... [ 5%] cell/properties reading sources... [ 5%] cell/word_arith reading sources... [ 5%] cell/word_binary reading sources... [ 5%] cell/word_debug reading sources... [ 6%] cell/word_formal reading sources... [ 6%] cell/word_fsm reading sources... [ 6%] cell/word_logic reading sources... [ 7%] cell/word_mem reading sources... [ 7%] cell/word_mux reading sources... [ 7%] cell/word_reg reading sources... [ 7%] cell/word_spec reading sources... [ 8%] cell/word_unary reading sources... [ 8%] cell/word_wire reading sources... [ 8%] cell_index reading sources... [ 8%] cmd/abc reading sources... [ 9%] cmd/abc9 reading sources... [ 9%] cmd/abc9_exe reading sources... [ 9%] cmd/abc9_ops reading sources... [ 10%] cmd/abc_new reading sources... [ 10%] cmd/abstract reading sources... [ 10%] cmd/add reading sources... [ 10%] cmd/aigmap reading sources... [ 11%] cmd/alumacc reading sources... [ 11%] cmd/anlogic_eqn reading sources... [ 11%] cmd/anlogic_fixcarry reading sources... [ 12%] cmd/assertpmux reading sources... [ 12%] cmd/async2sync reading sources... [ 12%] cmd/attrmap reading sources... [ 12%] cmd/attrmvcp reading sources... [ 13%] cmd/autoname reading sources... [ 13%] cmd/blackbox reading sources... [ 13%] cmd/bmuxmap reading sources... [ 14%] cmd/booth reading sources... [ 14%] cmd/box_derive reading sources... [ 14%] cmd/bufnorm reading sources... [ 14%] cmd/bugpoint reading sources... [ 15%] cmd/bwmuxmap reading sources... [ 15%] cmd/cd reading sources... [ 15%] cmd/cellmatch reading sources... [ 16%] cmd/check reading sources... [ 16%] cmd/chformal reading sources... [ 16%] cmd/chparam reading sources... [ 16%] cmd/chtype reading sources... [ 17%] cmd/clean reading sources... [ 17%] cmd/clean_zerowidth reading sources... [ 17%] cmd/clk2fflogic reading sources... [ 18%] cmd/clkbufmap reading sources... [ 18%] cmd/clockgate reading sources... [ 18%] cmd/connect reading sources... [ 18%] cmd/connect_rpc reading sources... [ 19%] cmd/connwrappers reading sources... [ 19%] cmd/coolrunner2_fixup reading sources... [ 19%] cmd/coolrunner2_sop reading sources... [ 20%] cmd/copy reading sources... [ 20%] cmd/cover reading sources... [ 20%] cmd/cutpoint reading sources... [ 20%] cmd/debug reading sources... [ 21%] cmd/delete reading sources... [ 21%] cmd/deminout reading sources... [ 21%] cmd/demuxmap reading sources... [ 22%] cmd/design reading sources... [ 22%] cmd/dffinit reading sources... [ 22%] cmd/dfflegalize reading sources... [ 22%] cmd/dfflibmap reading sources... [ 23%] cmd/dffunmap reading sources... [ 23%] cmd/dft_tag reading sources... [ 23%] cmd/dump reading sources... [ 24%] cmd/echo reading sources... [ 24%] cmd/edgetypes reading sources... [ 24%] cmd/efinix_fixcarry reading sources... [ 24%] cmd/equiv_add reading sources... [ 25%] cmd/equiv_induct reading sources... [ 25%] cmd/equiv_make reading sources... [ 25%] cmd/equiv_mark reading sources... [ 25%] cmd/equiv_miter reading sources... [ 26%] cmd/equiv_opt reading sources... [ 26%] cmd/equiv_purge reading sources... [ 26%] cmd/equiv_remove reading sources... [ 27%] cmd/equiv_simple reading sources... [ 27%] cmd/equiv_status reading sources... [ 27%] cmd/equiv_struct reading sources... [ 27%] cmd/eval reading sources... [ 28%] cmd/example_dt reading sources... [ 28%] cmd/exec reading sources... [ 28%] cmd/expose reading sources... [ 29%] cmd/extract reading sources... [ 29%] cmd/extract_counter reading sources... [ 29%] cmd/extract_fa reading sources... [ 29%] cmd/extract_reduce reading sources... [ 30%] cmd/extractinv reading sources... [ 30%] cmd/flatten reading sources... [ 30%] cmd/flowmap reading sources... [ 31%] cmd/fmcombine reading sources... [ 31%] cmd/fminit reading sources... [ 31%] cmd/formalff reading sources... [ 31%] cmd/freduce reading sources... [ 32%] cmd/fsm reading sources... [ 32%] cmd/fsm_detect reading sources... [ 32%] cmd/fsm_expand reading sources... [ 33%] cmd/fsm_export reading sources... [ 33%] cmd/fsm_extract reading sources... [ 33%] cmd/fsm_info reading sources... [ 33%] cmd/fsm_map reading sources... [ 34%] cmd/fsm_opt reading sources... [ 34%] cmd/fsm_recode reading sources... [ 34%] cmd/fst2tb reading sources... [ 35%] cmd/future reading sources... [ 35%] cmd/gatemate_foldinv reading sources... [ 35%] cmd/glift reading sources... [ 35%] cmd/greenpak4_dffinv reading sources... [ 36%] cmd/help reading sources... [ 36%] cmd/hierarchy reading sources... [ 36%] cmd/hilomap reading sources... [ 37%] cmd/history reading sources... [ 37%] cmd/ice40_braminit reading sources... [ 37%] cmd/ice40_dsp reading sources... [ 37%] cmd/ice40_opt reading sources... [ 38%] cmd/ice40_wrapcarry reading sources... [ 38%] cmd/insbuf reading sources... [ 38%] cmd/internal_stats reading sources... [ 39%] cmd/iopadmap reading sources... [ 39%] cmd/jny reading sources... [ 39%] cmd/json reading sources... [ 39%] cmd/keep_hierarchy reading sources... [ 40%] cmd/lattice_gsr reading sources... [ 40%] cmd/license reading sources... [ 40%] cmd/log reading sources... [ 41%] cmd/logger reading sources... [ 41%] cmd/ls reading sources... [ 41%] cmd/ltp reading sources... [ 41%] cmd/lut2mux reading sources... [ 42%] cmd/maccmap reading sources... [ 42%] cmd/memory reading sources... [ 42%] cmd/memory_bmux2rom reading sources... [ 42%] cmd/memory_bram reading sources... [ 43%] cmd/memory_collect reading sources... [ 43%] cmd/memory_dff reading sources... [ 43%] cmd/memory_libmap reading sources... [ 44%] cmd/memory_map reading sources... [ 44%] cmd/memory_memx reading sources... [ 44%] cmd/memory_narrow reading sources... [ 44%] cmd/memory_nordff reading sources... [ 45%] cmd/memory_share reading sources... [ 45%] cmd/memory_unpack reading sources... [ 45%] cmd/microchip_dffopt reading sources... [ 46%] cmd/microchip_dsp reading sources... [ 46%] cmd/miter reading sources... [ 46%] cmd/mutate reading sources... [ 46%] cmd/muxcover reading sources... [ 47%] cmd/muxpack reading sources... [ 47%] cmd/nlutmap reading sources... [ 47%] cmd/nx_carry reading sources... [ 48%] cmd/onehot reading sources... [ 48%] cmd/opt reading sources... [ 48%] cmd/opt_clean reading sources... [ 48%] cmd/opt_demorgan reading sources... [ 49%] cmd/opt_dff reading sources... [ 49%] cmd/opt_expr reading sources... [ 49%] cmd/opt_ffinv reading sources... [ 50%] cmd/opt_lut reading sources... [ 50%] cmd/opt_lut_ins reading sources... [ 50%] cmd/opt_mem reading sources... [ 50%] cmd/opt_mem_feedback reading sources... [ 51%] cmd/opt_mem_priority reading sources... [ 51%] cmd/opt_mem_widen reading sources... [ 51%] cmd/opt_merge reading sources... [ 52%] cmd/opt_muxtree reading sources... [ 52%] cmd/opt_reduce reading sources... [ 52%] cmd/opt_share reading sources... [ 52%] cmd/paramap reading sources... [ 53%] cmd/peepopt reading sources... [ 53%] cmd/plugin reading sources... [ 53%] cmd/pmux2shiftx reading sources... [ 54%] cmd/pmuxtree reading sources... [ 54%] cmd/portarcs reading sources... [ 54%] cmd/portlist reading sources... [ 54%] cmd/prep reading sources... [ 55%] cmd/printattrs reading sources... [ 55%] cmd/proc reading sources... [ 55%] cmd/proc_arst reading sources... [ 56%] cmd/proc_clean reading sources... [ 56%] cmd/proc_dff reading sources... [ 56%] cmd/proc_dlatch reading sources... [ 56%] cmd/proc_init reading sources... [ 57%] cmd/proc_memwr reading sources... [ 57%] cmd/proc_mux reading sources... [ 57%] cmd/proc_prune reading sources... [ 58%] cmd/proc_rmdead reading sources... [ 58%] cmd/proc_rom reading sources... [ 58%] cmd/qbfsat reading sources... [ 58%] cmd/ql_bram_merge reading sources... [ 59%] cmd/ql_bram_types reading sources... [ 59%] cmd/ql_dsp_io_regs reading sources... [ 59%] cmd/ql_dsp_macc reading sources... [ 59%] cmd/ql_dsp_simd reading sources... [ 60%] cmd/ql_ioff reading sources... [ 60%] cmd/read reading sources... [ 60%] cmd/read_aiger reading sources... [ 61%] cmd/read_blif reading sources... [ 61%] cmd/read_json reading sources... [ 61%] cmd/read_liberty reading sources... [ 61%] cmd/read_rtlil reading sources... [ 62%] cmd/read_verilog reading sources... [ 62%] cmd/read_xaiger2 reading sources... [ 62%] cmd/recover_names reading sources... [ 63%] cmd/rename reading sources... [ 63%] cmd/rmports reading sources... [ 63%] cmd/sat reading sources... [ 63%] cmd/scatter reading sources... [ 64%] cmd/scc reading sources... [ 64%] cmd/scratchpad reading sources... [ 64%] cmd/script reading sources... [ 65%] cmd/select reading sources... [ 65%] cmd/setattr reading sources... [ 65%] cmd/setenv reading sources... [ 65%] cmd/setparam reading sources... [ 66%] cmd/setundef reading sources... [ 66%] cmd/share reading sources... [ 66%] cmd/shell reading sources... [ 67%] cmd/show reading sources... [ 67%] cmd/shregmap reading sources... [ 67%] cmd/sim reading sources... [ 67%] cmd/simplemap reading sources... [ 68%] cmd/splice reading sources... [ 68%] cmd/splitcells reading sources... [ 68%] cmd/splitnets reading sources... [ 69%] cmd/sta reading sources... [ 69%] cmd/stat reading sources... [ 69%] cmd/submod reading sources... [ 69%] cmd/supercover reading sources... [ 70%] cmd/synth reading sources... [ 70%] cmd/synth_achronix reading sources... [ 70%] cmd/synth_anlogic reading sources... [ 71%] cmd/synth_coolrunner2 reading sources... [ 71%] cmd/synth_easic reading sources... [ 71%] cmd/synth_ecp5 reading sources... [ 71%] cmd/synth_efinix reading sources... [ 72%] cmd/synth_fabulous reading sources... [ 72%] cmd/synth_gatemate reading sources... [ 72%] cmd/synth_gowin reading sources... [ 73%] cmd/synth_greenpak4 reading sources... [ 73%] cmd/synth_ice40 reading sources... [ 73%] cmd/synth_intel reading sources... [ 73%] cmd/synth_intel_alm reading sources... [ 74%] cmd/synth_lattice reading sources... [ 74%] cmd/synth_microchip reading sources... [ 74%] cmd/synth_nanoxplore reading sources... [ 75%] cmd/synth_nexus reading sources... [ 75%] cmd/synth_quicklogic reading sources... [ 75%] cmd/synth_sf2 reading sources... [ 75%] cmd/synth_xilinx reading sources... [ 76%] cmd/synthprop reading sources... [ 76%] cmd/tcl reading sources... [ 76%] cmd/techmap reading sources... [ 76%] cmd/tee reading sources... [ 77%] cmd/test_abcloop reading sources... [ 77%] cmd/test_autotb reading sources... [ 77%] cmd/test_cell reading sources... [ 78%] cmd/test_generic reading sources... [ 78%] cmd/test_pmgen reading sources... [ 78%] cmd/torder reading sources... [ 78%] cmd/trace reading sources... [ 79%] cmd/tribuf reading sources... [ 79%] cmd/uniquify reading sources... [ 79%] cmd/verific reading sources... [ 80%] cmd/verilog_defaults reading sources... [ 80%] cmd/verilog_defines reading sources... [ 80%] cmd/viz reading sources... [ 80%] cmd/wbflip reading sources... [ 81%] cmd/wrapcell reading sources... [ 81%] cmd/wreduce reading sources... [ 81%] cmd/write_aiger reading sources... [ 82%] cmd/write_aiger2 reading sources... [ 82%] cmd/write_blif reading sources... [ 82%] cmd/write_btor reading sources... [ 82%] cmd/write_cxxrtl reading sources... [ 83%] cmd/write_edif reading sources... [ 83%] cmd/write_file reading sources... [ 83%] cmd/write_firrtl reading sources... [ 84%] cmd/write_functional_cxx reading sources... [ 84%] cmd/write_functional_rosette reading sources... [ 84%] cmd/write_functional_smt2 reading sources... [ 84%] cmd/write_intersynth reading sources... [ 85%] cmd/write_jny reading sources... [ 85%] cmd/write_json reading sources... [ 85%] cmd/write_rtlil reading sources... [ 86%] cmd/write_simplec reading sources... [ 86%] cmd/write_smt2 reading sources... [ 86%] cmd/write_smv reading sources... [ 86%] cmd/write_spice reading sources... [ 87%] cmd/write_table reading sources... [ 87%] cmd/write_verilog reading sources... [ 87%] cmd/write_xaiger reading sources... [ 88%] cmd/write_xaiger2 reading sources... [ 88%] cmd/xilinx_dffopt reading sources... [ 88%] cmd/xilinx_dsp reading sources... [ 88%] cmd/xilinx_srl reading sources... [ 89%] cmd/xprop reading sources... [ 89%] cmd/zinit reading sources... [ 89%] cmd_ref reading sources... [ 90%] getting_started/example_synth reading sources... [ 90%] getting_started/index reading sources... [ 90%] getting_started/installation reading sources... [ 90%] getting_started/scripting_intro reading sources... [ 91%] index reading sources... [ 91%] introduction reading sources... [ 91%] using_yosys/index reading sources... [ 92%] using_yosys/more_scripting/index reading sources... [ 92%] using_yosys/more_scripting/interactive_investigation reading sources... [ 92%] using_yosys/more_scripting/load_design reading sources... [ 92%] using_yosys/more_scripting/model_checking reading sources... [ 93%] using_yosys/more_scripting/selections reading sources... [ 93%] using_yosys/synthesis/abc reading sources... [ 93%] using_yosys/synthesis/cell_libs reading sources... [ 93%] using_yosys/synthesis/extract reading sources... [ 94%] using_yosys/synthesis/fsm reading sources... [ 94%] using_yosys/synthesis/index reading sources... [ 94%] using_yosys/synthesis/memory reading sources... [ 95%] using_yosys/synthesis/opt reading sources... [ 95%] using_yosys/synthesis/proc reading sources... [ 95%] using_yosys/synthesis/synth reading sources... [ 95%] using_yosys/synthesis/techmap_synth reading sources... [ 96%] yosys_internals/extending_yosys/build_verific reading sources... [ 96%] yosys_internals/extending_yosys/contributing reading sources... [ 96%] yosys_internals/extending_yosys/extensions reading sources... [ 97%] yosys_internals/extending_yosys/functional_ir reading sources... [ 97%] yosys_internals/extending_yosys/index reading sources... [ 97%] yosys_internals/extending_yosys/test_suites reading sources... [ 97%] yosys_internals/flow/control_and_data reading sources... [ 98%] yosys_internals/flow/index reading sources... [ 98%] yosys_internals/flow/overview reading sources... [ 98%] yosys_internals/flow/verilog_frontend reading sources... [ 99%] yosys_internals/formats/index reading sources... [ 99%] yosys_internals/formats/rtlil_rep reading sources... [ 99%] yosys_internals/hashing reading sources... [ 99%] yosys_internals/index reading sources... [100%] yosys_internals/techmap reading sources... [100%] yosys_internals/verilog looking for now-outdated files... none found pickling environment... done checking consistency... done preparing documents... done copying assets... copying TeX support files... Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/Makefile Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/latexmkjarc Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/make.bat Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/latexmkrc done copying assets: done processing yosyshqyosys.tex... index introduction getting_started/index getting_started/installation getting_started/example_synth getting_started/scripting_intro using_yosys/index using_yosys/synthesis/index using_yosys/synthesis/synth using_yosys/synthesis/proc using_yosys/synthesis/fsm using_yosys/synthesis/memory using_yosys/synthesis/opt using_yosys/synthesis/techmap_synth using_yosys/synthesis/extract using_yosys/synthesis/abc using_yosys/synthesis/cell_libs using_yosys/more_scripting/index using_yosys/more_scripting/load_design using_yosys/more_scripting/selections using_yosys/more_scripting/interactive_investigation using_yosys/more_scripting/model_checking yosys_internals/index yosys_internals/flow/index yosys_internals/flow/overview yosys_internals/flow/control_and_data yosys_internals/flow/verilog_frontend yosys_internals/formats/index yosys_internals/formats/rtlil_rep yosys_internals/extending_yosys/index yosys_internals/extending_yosys/extensions yosys_internals/extending_yosys/build_verific yosys_internals/extending_yosys/functional_ir yosys_internals/extending_yosys/contributing yosys_internals/extending_yosys/test_suites yosys_internals/techmap yosys_internals/verilog yosys_internals/hashing appendix/primer appendix/rtlil_text appendix/auxlibs appendix/auxprogs bib cell_index cell/index_word cell/word_unary cell/word_binary cell/word_mux cell/word_reg cell/word_mem cell/word_fsm cell/word_arith cell/word_logic cell/word_spec cell/word_formal cell/word_debug cell/word_wire cell/index_gate cell/gate_comb_simple cell/gate_comb_combined cell/gate_reg_ff cell/gate_reg_latch cell/gate_other cell/properties cmd_ref appendix/env_vars cmd/abc cmd/abc9 cmd/abc9_exe cmd/abc9_ops cmd/abc_new cmd/abstract cmd/add cmd/aigmap cmd/alumacc cmd/anlogic_eqn cmd/anlogic_fixcarry cmd/assertpmux cmd/async2sync cmd/attrmap cmd/attrmvcp cmd/autoname cmd/blackbox cmd/bmuxmap cmd/booth cmd/box_derive cmd/bufnorm cmd/bugpoint cmd/bwmuxmap cmd/cd cmd/cellmatch cmd/check cmd/chformal cmd/chparam cmd/chtype cmd/clean cmd/clean_zerowidth cmd/clk2fflogic cmd/clkbufmap cmd/clockgate cmd/connect cmd/connect_rpc cmd/connwrappers cmd/coolrunner2_fixup cmd/coolrunner2_sop cmd/copy cmd/cover cmd/cutpoint cmd/debug cmd/delete cmd/deminout cmd/demuxmap cmd/design cmd/dffinit cmd/dfflegalize cmd/dfflibmap cmd/dffunmap cmd/dft_tag cmd/dump cmd/echo cmd/edgetypes cmd/efinix_fixcarry cmd/equiv_add cmd/equiv_induct cmd/equiv_make cmd/equiv_mark cmd/equiv_miter cmd/equiv_opt cmd/equiv_purge cmd/equiv_remove cmd/equiv_simple cmd/equiv_status cmd/equiv_struct cmd/eval cmd/example_dt cmd/exec cmd/expose cmd/extract cmd/extract_counter cmd/extract_fa cmd/extract_reduce cmd/extractinv cmd/flatten cmd/flowmap cmd/fmcombine cmd/fminit cmd/formalff cmd/freduce cmd/fsm cmd/fsm_detect cmd/fsm_expand cmd/fsm_export cmd/fsm_extract cmd/fsm_info cmd/fsm_map cmd/fsm_opt cmd/fsm_recode cmd/fst2tb cmd/future cmd/gatemate_foldinv cmd/glift cmd/greenpak4_dffinv cmd/help cmd/hierarchy cmd/hilomap cmd/history cmd/ice40_braminit cmd/ice40_dsp cmd/ice40_opt cmd/ice40_wrapcarry cmd/insbuf cmd/internal_stats cmd/iopadmap cmd/jny cmd/json cmd/keep_hierarchy cmd/lattice_gsr cmd/license cmd/log cmd/logger cmd/ls cmd/ltp cmd/lut2mux cmd/maccmap cmd/memory cmd/memory_bmux2rom cmd/memory_bram cmd/memory_collect cmd/memory_dff cmd/memory_libmap cmd/memory_map cmd/memory_memx cmd/memory_narrow cmd/memory_nordff cmd/memory_share cmd/memory_unpack cmd/microchip_dffopt cmd/microchip_dsp cmd/miter cmd/mutate cmd/muxcover cmd/muxpack cmd/nlutmap cmd/nx_carry cmd/onehot cmd/opt cmd/opt_clean cmd/opt_demorgan cmd/opt_dff cmd/opt_expr cmd/opt_ffinv cmd/opt_lut cmd/opt_lut_ins cmd/opt_mem cmd/opt_mem_feedback cmd/opt_mem_priority cmd/opt_mem_widen cmd/opt_merge cmd/opt_muxtree cmd/opt_reduce cmd/opt_share cmd/paramap cmd/peepopt cmd/plugin cmd/pmux2shiftx cmd/pmuxtree cmd/portarcs cmd/portlist cmd/prep cmd/printattrs cmd/proc cmd/proc_arst cmd/proc_clean cmd/proc_dff cmd/proc_dlatch cmd/proc_init cmd/proc_memwr cmd/proc_mux cmd/proc_prune cmd/proc_rmdead cmd/proc_rom cmd/qbfsat cmd/ql_bram_merge cmd/ql_bram_types cmd/ql_dsp_io_regs cmd/ql_dsp_macc cmd/ql_dsp_simd cmd/ql_ioff cmd/read cmd/read_aiger cmd/read_blif cmd/read_json cmd/read_liberty cmd/read_rtlil cmd/read_verilog cmd/read_xaiger2 cmd/recover_names cmd/rename cmd/rmports cmd/sat cmd/scatter cmd/scc cmd/scratchpad cmd/script cmd/select cmd/setattr cmd/setenv cmd/setparam cmd/setundef cmd/share cmd/shell cmd/show cmd/shregmap cmd/sim cmd/simplemap cmd/splice cmd/splitcells cmd/splitnets cmd/sta cmd/stat cmd/submod cmd/supercover cmd/synth cmd/synth_achronix cmd/synth_anlogic cmd/synth_coolrunner2 cmd/synth_easic cmd/synth_ecp5 cmd/synth_efinix cmd/synth_fabulous cmd/synth_gatemate cmd/synth_gowin cmd/synth_greenpak4 cmd/synth_ice40 cmd/synth_intel cmd/synth_intel_alm cmd/synth_lattice cmd/synth_microchip cmd/synth_nanoxplore cmd/synth_nexus cmd/synth_quicklogic cmd/synth_sf2 cmd/synth_xilinx cmd/synthprop cmd/tcl cmd/techmap cmd/tee cmd/test_abcloop cmd/test_autotb cmd/test_cell cmd/test_generic cmd/test_pmgen cmd/torder cmd/trace cmd/tribuf cmd/uniquify cmd/verific cmd/verilog_defaults cmd/verilog_defines cmd/viz cmd/wbflip cmd/wrapcell cmd/wreduce cmd/write_aiger cmd/write_aiger2 cmd/write_blif cmd/write_btor cmd/write_cxxrtl cmd/write_edif cmd/write_file cmd/write_firrtl cmd/write_functional_cxx cmd/write_functional_rosette cmd/write_functional_smt2 cmd/write_intersynth cmd/write_jny cmd/write_json cmd/write_rtlil cmd/write_simplec cmd/write_smt2 cmd/write_smv cmd/write_spice cmd/write_table cmd/write_verilog cmd/write_xaiger cmd/write_xaiger2 cmd/xilinx_dffopt cmd/xilinx_dsp cmd/xilinx_srl cmd/xprop cmd/zinit resolving references... Missing ref for $_DFF_ in using_yosys/synthesis/opt Missing ref for gate_cost_equivalent in yosys_internals/verilog Missing ref for YS_HASHING_VERSION in yosys_internals/hashing processing yosyshqyosys.tex: done writing... done copying images... [ 1%] _static/logo.png copying images... [ 2%] _images/primer/levels_of_abstraction.pdf copying images... [ 3%] _images/code_examples/fifo/addr_gen_hier.pdf copying images... [ 5%] _images/code_examples/fifo/addr_gen_proc.pdf copying images... [ 6%] _images/code_examples/fifo/addr_gen_clean.pdf copying images... [ 7%] _images/code_examples/fifo/rdata_proc.pdf copying images... [ 8%] _images/code_examples/fifo/rdata_flat.pdf copying images... [ 9%] _images/code_examples/fifo/rdata_adffe.pdf copying images... [ 10%] _images/code_examples/fifo/rdata_wreduce.pdf copying images... [ 11%] _images/code_examples/fifo/rdata_memrdv2.pdf copying images... [ 13%] _images/code_examples/fifo/rdata_alumacc.pdf copying images... [ 14%] _images/code_examples/fifo/rdata_coarse.pdf copying images... [ 15%] _images/code_examples/fifo/rdata_map_ram.pdf copying images... [ 16%] _images/code_examples/fifo/rdata_map_ffram.pdf copying images... [ 17%] _images/code_examples/fifo/rdata_map_gates.pdf copying images... [ 18%] _images/code_examples/fifo/rdata_map_ffs.pdf copying images... [ 20%] _images/code_examples/fifo/rdata_map_luts.pdf copying images... [ 21%] _images/code_examples/fifo/rdata_map_cells.pdf copying images... [ 22%] _images/code_examples/fifo/addr_gen_show.pdf copying images... [ 23%] _images/code_examples/fifo/new_cells_show.pdf copying images... [ 24%] _images/code_examples/synth_flow/proc_01.pdf copying images... [ 25%] _images/code_examples/synth_flow/proc_02.pdf copying images... [ 26%] _images/code_examples/synth_flow/proc_03.pdf copying images... [ 28%] _images/code_examples/synth_flow/memory_01.pdf copying images... [ 29%] _images/code_examples/synth_flow/memory_02.pdf copying images... [ 30%] _images/code_examples/opt/opt_expr.pdf copying images... [ 31%] _images/code_examples/opt/opt_merge.pdf copying images... [ 32%] _images/code_examples/opt/opt_muxtree.pdf copying images... [ 33%] _images/code_examples/opt/opt_share.pdf copying images... [ 34%] _images/code_examples/macc/macc_simple_test_00a.pdf copying images... [ 36%] _images/code_examples/macc/macc_simple_test_00b.pdf copying images... [ 37%] _images/code_examples/macc/macc_simple_test_01a.pdf copying images... [ 38%] _images/code_examples/macc/macc_simple_test_01b.pdf copying images... [ 39%] _images/code_examples/macc/macc_simple_test_02a.pdf copying images... [ 40%] _images/code_examples/macc/macc_simple_test_02b.pdf copying images... [ 41%] _images/code_examples/macc/macc_xilinx_test1a.pdf copying images... [ 43%] _images/code_examples/macc/macc_xilinx_test1b.pdf copying images... [ 44%] _images/code_examples/macc/macc_xilinx_test2a.pdf copying images... [ 45%] _images/code_examples/macc/macc_xilinx_test2b.pdf copying images... [ 46%] _images/code_examples/macc/macc_xilinx_test1c.pdf copying images... [ 47%] _images/code_examples/macc/macc_xilinx_test2c.pdf copying images... [ 48%] _images/code_examples/macc/macc_xilinx_test1d.pdf copying images... [ 49%] _images/code_examples/macc/macc_xilinx_test2d.pdf copying images... [ 51%] _images/code_examples/macc/macc_xilinx_test2e.pdf copying images... [ 52%] _images/code_examples/intro/counter_00.pdf copying images... [ 53%] _images/code_examples/intro/counter_01.pdf copying images... [ 54%] _images/code_examples/intro/counter_02.pdf copying images... [ 55%] _images/code_examples/intro/counter_03.pdf copying images... [ 56%] _images/code_examples/selections/sumprod_00.pdf copying images... [ 57%] _images/code_examples/selections/sumprod_01.pdf copying images... [ 59%] _images/code_examples/selections/sumprod_02.pdf copying images... [ 60%] _images/code_examples/selections/sumprod_03.pdf copying images... [ 61%] _images/code_examples/selections/sumprod_04.pdf copying images... [ 62%] _images/code_examples/selections/sumprod_05.pdf copying images... [ 63%] _images/code_examples/selections/memdemo_00.pdf copying images... [ 64%] _images/code_examples/selections/memdemo_01.pdf copying images... [ 66%] _images/code_examples/selections/memdemo_02.pdf copying images... [ 67%] _images/code_examples/selections/memdemo_03.pdf copying images... [ 68%] _images/code_examples/selections/memdemo_05.pdf copying images... [ 69%] _images/code_examples/selections/memdemo_04.pdf copying images... [ 70%] _images/code_examples/selections/select.pdf copying images... [ 71%] _images/code_examples/show/example_first.pdf copying images... [ 72%] _images/code_examples/show/example_second.pdf copying images... [ 74%] _images/code_examples/show/example_third.pdf copying images... [ 75%] _images/code_examples/show/splice.pdf copying images... [ 76%] _images/code_examples/show/cmos_00.pdf copying images... [ 77%] _images/code_examples/show/cmos_01.pdf copying images... [ 78%] _images/code_examples/scrambler/scrambler_p01.pdf copying images... [ 79%] _images/code_examples/scrambler/scrambler_p02.pdf copying images... [ 80%] _images/code_examples/selections/submod_02.pdf copying images... [ 82%] _images/code_examples/selections/submod_03.pdf copying images... [ 83%] _images/code_examples/selections/submod_01.pdf copying images... [ 84%] _images/internals/overview_flow.pdf copying images... [ 85%] _images/internals/approach_flow.pdf copying images... [ 86%] _images/internals/verilog_flow.pdf copying images... [ 87%] _images/internals/overview_rtlil.pdf copying images... [ 89%] _images/internals/simplified_rtlil.pdf copying images... [ 90%] _images/code_examples/extensions/test1.pdf copying images... [ 91%] _images/code_examples/techmap/red_or3x1.pdf copying images... [ 92%] _images/code_examples/techmap/sym_mul.pdf copying images... [ 93%] _images/code_examples/techmap/mymul.pdf copying images... [ 94%] _images/code_examples/techmap/mulshift.pdf copying images... [ 95%] _images/code_examples/techmap/addshift.pdf copying images... [ 97%] _images/primer/basics_abstractions.pdf copying images... [ 98%] _images/primer/basics_flow.pdf copying images... [ 99%] _images/primer/basics_parsetree.pdf copying images... [100%] _images/primer/basics_ast.pdf Writing evaluated template result to /build/reproducible-path/yosys-0.51/docs/build/latex/sphinxmessages.sty build succeeded. The LaTeX files are in build/latex. Run 'make' in that directory to run these through (pdf)latex (use `make latexpdf' here to do that automatically). Running LaTeX files through pdflatex... make -C build/latex all-pdf make[4]: Entering directory '/build/reproducible-path/yosys-0.51/docs/build/latex' latexmk -pdf -dvi- -ps- 'yosyshqyosys.tex' Rc files read: /etc/LatexMk latexmkrc Latexmk: This is Latexmk, John Collins, 11 Dec. 2024. Version 4.86. No existing .aux file, so I'll make a simple one, and require run of *latex. Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Category 'other': Rerun of 'pdflatex' forced or previously required: Reason or flag: 'Initial setup' ------------ Run number 1 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "yosyshqyosys.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./yosyshqyosys.tex LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) (/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty) (./sphinxpackagefootnote.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/generic/stringenc/stringenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hypcap/hypcap.sty (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty Excluding comment 'comment') Writing index file yosyshqyosys.idx (/usr/share/texmf/tex/latex/lm/t1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./yosyshqyosys.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/fontawesome5.sty (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/expl3.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3packages/l3keys2e/l3keys2e.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3packages/xparse/xparse.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/fontawesome5-generic-help er.sty (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/fontawesome5-mapping.def) )) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf/tex/latex/lm/t1lmss.fd) (/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<> (/usr/share/texmf/tex/latex/lm/omllmm.fd)<> (/usr/share/texmf/tex/latex/lm/omslmsy.fd)<> (/usr/share/texmf/tex/latex/lm/omxlmex.fd)<> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/en c/dvips/lm/lm-ec.enc}] [2] No file yosyshqyosys.toc. [1] [2] LaTeX Warning: Hyper reference `introduction::doc' on page 1 undefined on input line 96. LaTeX Warning: Hyper reference `getting_started/index::doc' on page 1 undefined on input line 97. (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/ufontawesomefree2.fd) (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) LaTeX Warning: Hyper reference `appendix/auxprogs:id1' on page 1 undefined on i nput line 126. LaTeX Warning: Hyper reference `bib:id25' on page 1 undefined on input line 134 . (/usr/share/texmf/tex/latex/lm/t1lmtt.fd) LaTeX Warning: Hyper reference `cell/gate_reg_ff:id1' on page 1 undefined on in put line 142. LaTeX Warning: Hyper reference `cell/word_arith:arith._alu' on page 1 undefined on input line 146. LaTeX Warning: Hyper reference `cell/word_arith:arith._fa' on page 1 undefined on input line 146. LaTeX Warning: Hyper reference `cell/word_arith:arith._lcu' on page 1 undefined on input line 146. LaTeX Warning: Hyper reference `cell/word_arith:id1' on page 1 undefined on inp ut line 150. LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 1 undefin ed on input line 157. LaTeX Warning: Hyper reference `cell/word_formal:formal._assert' on page 1 unde fined on input line 157. LaTeX Warning: Hyper reference `cell/word_formal:formal._assume' on page 1 unde fined on input line 157. LaTeX Warning: Hyper reference `cell/word_formal:formal._live' on page 1 undefi ned on input line 157. LaTeX Warning: Hyper reference `cell/word_formal:formal._fair' on page 1 undefi ned on input line 157. LaTeX Warning: Hyper reference `cell/word_formal:formal._cover' on page 1 undef ined on input line 157. LaTeX Warning: Hyper reference `cell/word_formal:formal._equiv' on page 1 undef ined on input line 157. LaTeX Warning: Hyper reference `cell/word_formal:formal._initstate' on page 1 u ndefined on input line 158. LaTeX Warning: Hyper reference `cell/word_formal:formal._anyconst' on page 1 un defined on input line 158. LaTeX Warning: Hyper reference `cell/word_formal:formal._anyseq' on page 1 unde fined on input line 158. LaTeX Warning: Hyper reference `cell/word_formal:formal._anyinit' on page 1 und efined on input line 158. LaTeX Warning: Hyper reference `cell/word_formal:formal._allconst' on page 1 un defined on input line 158. LaTeX Warning: Hyper reference `cell/word_formal:formal._allseq' on page 1 unde fined on input line 158. LaTeX Warning: Hyper reference `cell/word_formal:formal._ff' on page 1 undefine d on input line 161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__FF_' on page 1 undefi ned on input line 161. LaTeX Warning: Hyper reference `cell/word_formal:id1' on page 1 undefined on in put line 165. [1{/usr/share/texlive/texmf-dist/fonts/enc/dvips/fontawesome5/fa5free2.enc}{/us r/share/texmf/fonts/enc/dvips/lm/lm-ts1.enc}] LaTeX Warning: Hyper reference `cell/word_fsm:fsm._fsm' on page 2 undefined on input line 169. LaTeX Warning: Hyper reference `cell/word_fsm:id1' on page 2 undefined on input line 173. LaTeX Warning: Hyper reference `cell/word_spec:spec._specify2' on page 2 undefi ned on input line 177. LaTeX Warning: Hyper reference `cell/word_spec:spec._specify3' on page 2 undefi ned on input line 177. LaTeX Warning: Hyper reference `cell/word_spec:spec._specrule' on page 2 undefi ned on input line 177. LaTeX Warning: Hyper reference `cell/word_spec:id1' on page 2 undefined on inpu t line 181. LaTeX Warning: Hyper reference `cell/word_wire:wire._slice' on page 2 undefined on input line 185. LaTeX Warning: Hyper reference `cell/word_wire:wire._concat' on page 2 undefine d on input line 185. LaTeX Warning: Hyper reference `cell/word_wire:id1' on page 2 undefined on inpu t line 189. LaTeX Warning: Hyper reference `getting_started/example_synth:id1' on page 2 un defined on input line 197. Overfull \hbox (45.68733pt too wide) in paragraph at lines 196--198 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/getting_started/example_synth.rst, LaTeX Warning: Hyper reference `getting_started/example_synth:id2' on page 2 un defined on input line 205. Overfull \hbox (45.68733pt too wide) in paragraph at lines 204--206 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/getting_started/example_synth.rst, LaTeX Warning: Hyper reference `getting_started/example_synth:id3' on page 2 un defined on input line 213. Overfull \hbox (45.68733pt too wide) in paragraph at lines 212--214 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/getting_started/example_synth.rst, LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 2 undefine d on input line 217. [2] LaTeX Warning: Hyper reference `getting_started/example_synth:id4' on page 3 un defined on input line 221. Overfull \hbox (45.68733pt too wide) in paragraph at lines 220--222 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/getting_started/example_synth.rst, LaTeX Warning: Hyper reference `cmd/wreduce:cmd-wreduce' on page 3 undefined on input line 225. LaTeX Warning: Hyper reference `cmd/opt_clean:cmd-opt_clean' on page 3 undefine d on input line 225. LaTeX Warning: Hyper reference `getting_started/example_synth:id5' on page 3 un defined on input line 229. Overfull \hbox (45.68733pt too wide) in paragraph at lines 228--230 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/getting_started/example_synth.rst, LaTeX Warning: Hyper reference `getting_started/example_synth:id6' on page 3 un defined on input line 237. Overfull \hbox (45.68733pt too wide) in paragraph at lines 236--238 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/getting_started/example_synth.rst, LaTeX Warning: Hyper reference `index:id1' on page 3 undefined on input line 26 0. LaTeX Warning: Hyper reference `introduction:id1' on page 3 undefined on input line 268. LaTeX Warning: Hyper reference `introduction:id4' on page 3 undefined on input line 276. LaTeX Warning: Hyper reference `using_yosys/more_scripting/index:id1' on page 3 undefined on input line 284. Overfull \hbox (58.88144pt too wide) in paragraph at lines 283--285 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/index.rst, [3] LaTeX Warning: Hyper reference `using_yosys/more_scripting/index:id2' on page 4 undefined on input line 292. Overfull \hbox (58.88144pt too wide) in paragraph at lines 291--293 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/index.rst, LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id1' on page 4 undefined on input line 300. Overfull \hbox (143.9655pt too wide) in paragraph at lines 299--301 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, LaTeX Warning: Hyper reference `getting_started/scripting_intro::doc' on page 4 undefined on input line 304. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id2' on page 4 undefined on input line 308. Overfull \hbox (143.9655pt too wide) in paragraph at lines 307--309 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id4' on page 4 undefined on input line 316. Overfull \hbox (143.9655pt too wide) in paragraph at lines 315--317 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id5' on page 4 undefined on input line 324. Overfull \hbox (143.9655pt too wide) in paragraph at lines 323--325 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id6' on page 4 undefined on input line 332. Overfull \hbox (143.9655pt too wide) in paragraph at lines 331--333 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, [4] LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id7' on page 5 undefined on input line 340. Overfull \hbox (143.9655pt too wide) in paragraph at lines 339--341 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id8' on page 5 undefined on input line 348. Overfull \hbox (143.9655pt too wide) in paragraph at lines 347--349 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id9' on page 5 undefined on input line 356. Overfull \hbox (143.9655pt too wide) in paragraph at lines 355--357 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id10' on page 5 undefined on input line 364. Overfull \hbox (143.9655pt too wide) in paragraph at lines 363--365 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:id11' on page 5 undefined on input line 372. Overfull \hbox (143.9655pt too wide) in paragraph at lines 371--373 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investigation.rs t, LaTeX Warning: Hyper reference `using_yosys/more_scripting/load_design:id1' on page 5 undefined on input line 380. Overfull \hbox (88.3812pt too wide) in paragraph at lines 379--381 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/load_design.rst, LaTeX Warning: Hyper reference `using_yosys/more_scripting/load_design:id2' on page 5 undefined on input line 389. Overfull \hbox (88.3812pt too wide) in paragraph at lines 388--390 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/load_design.rst, [5] LaTeX Warning: Hyper reference `using_yosys/more_scripting/model_checking:id1' on page 6 undefined on input line 397. Overfull \hbox (106.10341pt too wide) in paragraph at lines 396--398 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, LaTeX Warning: Hyper reference `using_yosys/more_scripting/model_checking:id2' on page 6 undefined on input line 405. Overfull \hbox (106.10341pt too wide) in paragraph at lines 404--406 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, LaTeX Warning: Hyper reference `using_yosys/more_scripting/model_checking:id3' on page 6 undefined on input line 413. Overfull \hbox (106.10341pt too wide) in paragraph at lines 412--414 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, LaTeX Warning: Hyper reference `using_yosys/more_scripting/model_checking:id4' on page 6 undefined on input line 421. Overfull \hbox (106.10341pt too wide) in paragraph at lines 420--422 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, LaTeX Warning: Hyper reference `using_yosys/more_scripting/model_checking:id5' on page 6 undefined on input line 429. Overfull \hbox (106.10341pt too wide) in paragraph at lines 428--430 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, LaTeX Warning: Hyper reference `getting_started/scripting_intro::doc' on page 6 undefined on input line 433. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:id1' on p age 6 undefined on input line 437. Overfull \hbox (76.4927pt too wide) in paragraph at lines 436--438 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/selections.rst, [6] LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:id3' on p age 7 undefined on input line 445. Overfull \hbox (76.4927pt too wide) in paragraph at lines 444--446 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/selections.rst, LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:id4' on p age 7 undefined on input line 453. Overfull \hbox (76.4927pt too wide) in paragraph at lines 452--454 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/more_scripting/selections.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/abc:id1' on page 7 undefi ned on input line 461. Overfull \hbox (22.54857pt too wide) in paragraph at lines 460--462 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/abc.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/cell_libs:id1' on page 7 undefined on input line 469. Overfull \hbox (44.2708pt too wide) in paragraph at lines 468--470 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/cell_libs.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/extract:id1' on page 7 un defined on input line 478. Overfull \hbox (38.13246pt too wide) in paragraph at lines 477--479 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/extract.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/extract:id2' on page 7 un defined on input line 486. Overfull \hbox (38.13246pt too wide) in paragraph at lines 485--487 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/extract.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/memory:id1' on page 7 und efined on input line 494. Overfull \hbox (41.74258pt too wide) in paragraph at lines 493--495 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/memory.rst, [7] LaTeX Warning: Hyper reference `using_yosys/synthesis/memory:id2' on page 8 und efined on input line 502. Overfull \hbox (41.74258pt too wide) in paragraph at lines 501--503 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/memory.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/memory:id3' on page 8 und efined on input line 510. Overfull \hbox (41.74258pt too wide) in paragraph at lines 509--511 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/memory.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/opt:id1' on page 8 undefi ned on input line 518. Overfull \hbox (21.71529pt too wide) in paragraph at lines 517--519 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, LaTeX Warning: Hyper reference `yosys_internals/index::doc' on page 8 undefined on input line 522. LaTeX Warning: Hyper reference `using_yosys/synthesis/opt:id2' on page 8 undefi ned on input line 526. Overfull \hbox (21.71529pt too wide) in paragraph at lines 525--527 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/opt:id4' on page 8 undefi ned on input line 534. Overfull \hbox (21.71529pt too wide) in paragraph at lines 533--535 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/opt:id5' on page 8 undefi ned on input line 542. Overfull \hbox (21.71529pt too wide) in paragraph at lines 541--543 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/proc:id1' on page 8 undef ined on input line 550. Overfull \hbox (26.46524pt too wide) in paragraph at lines 549--551 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/proc.rst, [8] LaTeX Warning: Hyper reference `using_yosys/synthesis/synth:id1' on page 9 unde fined on input line 558. Overfull \hbox (31.21547pt too wide) in paragraph at lines 557--559 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/synth.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/techmap_synth:id1' on pag e 9 undefined on input line 566. Overfull \hbox (75.65968pt too wide) in paragraph at lines 565--567 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/techmap_synth.rst, LaTeX Warning: Hyper reference `using_yosys/synthesis/techmap_synth:id3' on pag e 9 undefined on input line 574. Overfull \hbox (75.65968pt too wide) in paragraph at lines 573--575 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/using_yosys/synthesis/techmap_synth.rst, LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions:id1' on page 9 undefined on input line 582. Overfull \hbox (100.96614pt too wide) in paragraph at lines 581--583 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions:id2' on page 9 undefined on input line 590. Overfull \hbox (100.96614pt too wide) in paragraph at lines 589--591 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions:id3' on page 9 undefined on input line 598. Overfull \hbox (100.96614pt too wide) in paragraph at lines 597--599 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, [9] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions:id4' on page 10 undefined on input line 606. Overfull \hbox (100.96614pt too wide) in paragraph at lines 605--607 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions:id5' on page 10 undefined on input line 614. Overfull \hbox (100.96614pt too wide) in paragraph at lines 613--615 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions:id6' on page 10 undefined on input line 622. Overfull \hbox (100.96614pt too wide) in paragraph at lines 621--623 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions:id7' on page 10 undefined on input line 630. Overfull \hbox (100.96614pt too wide) in paragraph at lines 629--631 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions:id8' on page 10 undefined on input line 638. Overfull \hbox (100.96614pt too wide) in paragraph at lines 637--639 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions:id9' on page 10 undefined on input line 646. Overfull \hbox (100.96614pt too wide) in paragraph at lines 645--647 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/test_suites:id1 ' on page 10 undefined on input line 654. Overfull \hbox (104.35516pt too wide) in paragraph at lines 653--655 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/test_suites.rst, [10] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/test_suites:id2 ' on page 11 undefined on input line 662. Overfull \hbox (104.35516pt too wide) in paragraph at lines 661--663 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/extending_yosys/test_suites.rst, LaTeX Warning: Hyper reference `yosys_internals/flow/control_and_data:id1' on p age 11 undefined on input line 670. Overfull \hbox (81.32587pt too wide) in paragraph at lines 669--671 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/flow/control_and_data.rst, LaTeX Warning: Hyper reference `yosys_internals/flow/overview:id1' on page 11 u ndefined on input line 678. Overfull \hbox (38.27055pt too wide) in paragraph at lines 677--679 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/flow/overview.rst, LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd' on page 11 undefined on input line 685. LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr' on page 11 undefined on input line 685. LaTeX Warning: Hyper reference `yosys_internals/flow/verilog_frontend:id1' on p age 11 undefined on input line 690. Overfull \hbox (73.57599pt too wide) in paragraph at lines 689--691 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/flow/verilog_frontend.rst, LaTeX Warning: Hyper reference `yosys_internals/flow/verilog_frontend:id2' on p age 11 undefined on input line 702. Overfull \hbox (73.57599pt too wide) in paragraph at lines 701--703 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/flow/verilog_frontend.rst, LaTeX Warning: Hyper reference `yosys_internals/index:id1' on page 11 undefined on input line 710. Overfull \hbox (1.57625pt too wide) in paragraph at lines 709--711 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/index.rst, [11] LaTeX Warning: Hyper reference `yosys_internals/index:id2' on page 12 undefined on input line 718. Overfull \hbox (1.57625pt too wide) in paragraph at lines 717--719 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/index.rst, LaTeX Warning: Hyper reference `yosys_internals/techmap:id1' on page 12 undefin ed on input line 726. Overfull \hbox (14.90923pt too wide) in paragraph at lines 725--727 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/techmap.rst, LaTeX Warning: Hyper reference `yosys_internals/flow/verilog_frontend::doc' on page 12 undefined on input line 730. LaTeX Warning: Hyper reference `yosys_internals/verilog:id1' on page 12 undefin ed on input line 734. Overfull \hbox (6.8819pt too wide) in paragraph at lines 733--735 []\T1/lmr/m/n/10 (The orig-i-nal en-try is lo-cated in /build/reproducible-path /yosys-0.51/docs/source/yosys_internals/verilog.rst, [12] Chapter 1. (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/ufontawesomefree1.fd) [13{/usr/share/texlive/texmf-dist/fonts/enc/dvips/fontawesome5/fa5free1.enc} <. /logo.png>] [14] [15 <./levels_of_abstraction.pdf>] [16] [17] [18] Chapter 2. [19] LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 20 undefined on inpu t line 1204. [20] [21{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texmf/fonts/e nc/dvips/lm/lm-mathsy.enc}] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/test_suites::do c' on page 22 undefined on input line 1344. LaTeX Warning: Hyper reference `appendix/auxlibs::doc' on page 22 undefined on input line 1390. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 22 undefin ed on input line 1400. LaTeX Warning: Hyper reference `cmd/submod:cmd-submod' on page 22 undefined on input line 1400. LaTeX Warning: Hyper reference `cmd/uniquify:cmd-uniquify' on page 22 undefined on input line 1400. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/test_suites::do c' on page 22 undefined on input line 1410. [22] LaTeX Warning: Hyper reference `cmd/synth_ice40:cmd-synth_ice40' on page 23 und efined on input line 1441. LaTeX Warning: Hyper reference `cmd/synth_ice40:cmd-synth_ice40' on page 23 und efined on input line 1442. LaTeX Warning: Hyper reference `using_yosys/synthesis/synth::doc' on page 23 un defined on input line 1453. (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) [23] [24] LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 25 u ndefined on input line 1551. LaTeX Warning: Hyper reference `getting_started/example_synth:fifo-v' on page 2 5 undefined on input line 1563. LaTeX Warning: Hyper reference `yosys_internals/flow/verilog_frontend::doc' on page 25 undefined on input line 1565. LaTeX Warning: Hyper reference `using_yosys/more_scripting/load_design::doc' on page 25 undefined on input line 1586. LaTeX Warning: Hyper reference `cmd/help:cmd-help' on page 25 undefined on inpu t line 1605. LaTeX Warning: Hyper reference `cmd/synth_ice40:cmd-synth_ice40' on page 25 und efined on input line 1606. [25] LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 26 undefin ed on input line 1671. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 26 undefin ed on input line 1672. [26] LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 27 undefin ed on input line 1706. LaTeX Warning: Hyper reference `getting_started/example_synth:addr-gen-v' on pa ge 27 undefined on input line 1710. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 27 undefi ned on input line 1711. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 27 undefin ed on input line 1711. LaTeX Warning: Hyper reference `cmd/proc::doc' on page 27 undefined on input li ne 1719. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 27 undefined on inpu t line 1719. LaTeX Warning: Hyper reference `cmd/synth_ice40:cmd-synth_ice40' on page 27 und efined on input line 1720. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 27 undefined on inpu t line 1721. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 27 undefined on input line 1740. LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 27 undefined o n input line 1741. LaTeX Warning: Hyper reference `using_yosys/synthesis/proc::doc' on page 27 und efined on input line 1743. LaTeX Warning: Hyper reference `getting_started/example_synth:addr-gen-proc' on page 27 undefined on input line 1746. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 27 undefined on in put line 1750. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 27 undefined on input line 1750. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 27 undefined on inpu t line 1751. [27 <./addr_gen_hier.pdf>] LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 28 undefin ed on input line 1766. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 28 undefined on input line 1770. LaTeX Warning: Hyper reference `using_yosys/synthesis/opt::doc' on page 28 unde fined on input line 1772. LaTeX Warning: Hyper reference `using_yosys/synthesis/opt:adv-opt-expr' on page 28 undefined on input line 1773. LaTeX Warning: Hyper reference `cmd/clean::doc' on page 28 undefined on input l ine 1777. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 28 undefined on in put line 1784. [28 <./addr_gen_proc.pdf> <./addr_gen_clean.pdf pdfTeX warning: pdflatex (file ./addr_gen_clean.pdf): PDF inclusion: multiple p dfs with page group included in a single page >] LaTeX Warning: Hyper reference `using_yosys/synthesis/proc::doc' on page 29 und efined on input line 1803. LaTeX Warning: Hyper reference `using_yosys/synthesis/opt::doc' on page 29 unde fined on input line 1807. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 29 undefin ed on input line 1823. LaTeX Warning: Hyper reference `cmd/design::doc' on page 29 undefined on input line 1833. LaTeX Warning: Hyper reference `cmd/read_verilog::doc' on page 29 undefined on input line 1837. Overfull \vbox (3.09392pt too high) detected at line 1879 [29] LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 30 u ndefined on input line 1887. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 30 undefin ed on input line 1888. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 30 undefin ed on input line 1896. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 30 undefined on inpu t line 1913. LaTeX Warning: Hyper reference `getting_started/example_synth:synth-begin' on p age 30 undefined on input line 1913. LaTeX Warning: Hyper reference `cmd/show::doc' on page 30 undefined on input li ne 1916. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 30 undefined on inpu t line 1916. LaTeX Warning: Hyper reference `getting_started/scripting_intro:show-intro' on page 30 undefined on input line 1920. LaTeX Warning: Hyper reference `getting_started/scripting_intro::doc' on page 3 0 undefined on input line 1920. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 30 undefined on inpu t line 1921. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 30 undefined on inpu t line 1928. LaTeX Warning: Hyper reference `getting_started/example_synth:addr-gen-proc' on page 30 undefined on input line 1932. [30 <./rdata_proc.pdf>] LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd' on page 31 undefined on input line 1938. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd' on page 31 undefined on input line 1941. LaTeX Warning: Hyper reference `using_yosys/synthesis/proc::doc' on page 31 und efined on input line 1949. LaTeX Warning: Hyper reference `cmd/synth_ice40:cmd-synth_ice40' on page 31 und efined on input line 1960. LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 31 undefined o n input line 1970. LaTeX Warning: Hyper reference `getting_started/example_synth:addr-gen-proc' on page 31 undefined on input line 2000. LaTeX Warning: Hyper reference `getting_started/example_synth:rdata-proc' on pa ge 31 undefined on input line 2001. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd' on page 31 undefined on input line 2003. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 31 undefined on in put line 2004. LaTeX Warning: Hyper reference `getting_started/example_synth:flat-clean' on pa ge 31 undefined on input line 2005. [31 <./rdata_flat.pdf>] LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 32 undefined o n input line 2009. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 32 undefined on in put line 2009. LaTeX Warning: Hyper reference `cmd/echo:cmd-echo' on page 32 undefined on inpu t line 2013. LaTeX Warning: Hyper reference `cmd/tribuf:cmd-tribuf' on page 32 undefined on input line 2019. LaTeX Warning: Hyper reference `cmd/deminout:cmd-deminout' on page 32 undefined on input line 2019. LaTeX Warning: Hyper reference `getting_started/example_synth:synth-flatten' on page 32 undefined on input line 2041. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 32 undefined on inpu t line 2041. LaTeX Warning: Hyper reference `getting_started/example_synth:synth-begin' on p age 32 undefined on input line 2042. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 32 undefined on input line 2063. LaTeX Warning: Hyper reference `cmd/opt_clean:cmd-opt_clean' on page 32 undefin ed on input line 2063. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 32 undefined on in put line 2063. LaTeX Warning: Hyper reference `cmd/check:cmd-check' on page 32 undefined on in put line 2064. LaTeX Warning: Hyper reference `cmd/fsm::doc' on page 32 undefined on input lin e 2074. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 32 undefined on input line 2074. LaTeX Warning: Hyper reference `cmd/fsm:cmd-fsm' on page 32 undefined on input line 2074. LaTeX Warning: Hyper reference `using_yosys/synthesis/opt::doc' on page 32 unde fined on input line 2075. LaTeX Warning: Hyper reference `using_yosys/synthesis/fsm::doc' on page 32 unde fined on input line 2076. LaTeX Warning: Hyper reference `getting_started/example_synth:rdata-flat' on pa ge 32 undefined on input line 2080. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 32 undefined on input line 2080. LaTeX Warning: Hyper reference `cmd/opt_dff:cmd-opt_dff' on page 32 undefined o n input line 2081. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 32 undefined on input line 2082. LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 32 undefined o n input line 2082. LaTeX Warning: Hyper reference `cell/word_reg:reg._adffe' on page 32 undefined on input line 2082. [32] LaTeX Warning: Hyper reference `cmd/opt_dff:cmd-opt_dff' on page 33 undefined o n input line 2086. LaTeX Warning: Hyper reference `cmd/opt_dff:cmd-opt_dff' on page 33 undefined o n input line 2100. LaTeX Warning: Hyper reference `using_yosys/synthesis/fsm::doc' on page 33 unde fined on input line 2110. LaTeX Warning: Hyper reference `using_yosys/synthesis/opt::doc' on page 33 unde fined on input line 2114. LaTeX Warning: Hyper reference `cmd/wreduce::doc' on page 33 undefined on input line 2141. [33 <./rdata_adffe.pdf>] LaTeX Warning: Hyper reference `cmd/wreduce:cmd-wreduce' on page 34 undefined o n input line 2144. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 34 undefi ned on input line 2186. LaTeX Warning: Hyper reference `cmd/wreduce:cmd-wreduce' on page 34 undefined o n input line 2191. LaTeX Warning: Hyper reference `cmd/opt_clean:cmd-opt_clean' on page 34 undefin ed on input line 2191. LaTeX Warning: Hyper reference `cmd/wreduce:cmd-wreduce' on page 34 undefined o n input line 2199. LaTeX Warning: Hyper reference `cmd/peepopt::doc' on page 34 undefined on input line 2202. LaTeX Warning: Hyper reference `cmd/share::doc' on page 34 undefined on input l ine 2202. LaTeX Warning: Hyper reference `using_yosys/synthesis/opt::doc' on page 34 unde fined on input line 2204. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 34 undefined o n input line 2208. LaTeX Warning: Hyper reference `using_yosys/synthesis/techmap_synth::doc' on pa ge 34 undefined on input line 2209. [34] LaTeX Warning: Hyper reference `cmd/memory_dff::doc' on page 35 undefined on in put line 2213. LaTeX Warning: Hyper reference `cmd/memory_dff:cmd-memory_dff' on page 35 undef ined on input line 2216. LaTeX Warning: Hyper reference `cmd/memory_dff:cmd-memory_dff' on page 35 undef ined on input line 2229. LaTeX Warning: Hyper reference `cmd/memory_dff:cmd-memory_dff' on page 35 undef ined on input line 2232. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 35 undefined on input line 2232. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd' on page 35 undefined on input line 2233. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 35 undefin ed on input line 2233. [35 <./rdata_wreduce.pdf> <./rdata_memrdv2.pdf pdfTeX warning: pdflatex (file ./rdata_memrdv2.pdf): PDF inclusion: multiple pd fs with page group included in a single page >] LaTeX Warning: Hyper reference `using_yosys/synthesis/opt::doc' on page 36 unde fined on input line 2246. LaTeX Warning: Hyper reference `using_yosys/synthesis/techmap_synth::doc' on pa ge 36 undefined on input line 2250. LaTeX Warning: Hyper reference `using_yosys/synthesis/memory::doc' on page 36 u ndefined on input line 2254. LaTeX Warning: Hyper reference `cmd/synth_ice40:cmd-synth_ice40' on page 36 und efined on input line 2266. LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 36 undefi ned on input line 2291. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 36 undefined o n input line 2294. LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 36 undefi ned on input line 2294. LaTeX Warning: Hyper reference `cmd/chtype:cmd-chtype' on page 36 undefined on input line 2297. LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 36 undefi ned on input line 2297. LaTeX Warning: Hyper reference `cmd/setattr:cmd-setattr' on page 36 undefined o n input line 2305. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 36 undefined on input line 2306. LaTeX Warning: Hyper reference `cmd/ice40_dsp:cmd-ice40_dsp' on page 36 undefin ed on input line 2316. LaTeX Warning: Hyper reference `cmd/memory_dff:cmd-memory_dff' on page 36 undef ined on input line 2316. LaTeX Warning: Hyper reference `using_yosys/synthesis/techmap_synth::doc' on pa ge 36 undefined on input line 2327. [36] LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 37 undefi ned on input line 2348. LaTeX Warning: Hyper reference `cell/word_arith:arith._alu' on page 37 undefine d on input line 2349. LaTeX Warning: Hyper reference `cell/word_arith:arith._macc' on page 37 undefin ed on input line 2349. LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 37 undefined o n input line 2350. LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 37 undefined o n input line 2354. LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 37 undefined o n input line 2379. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 37 undefined on input line 2382. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 37 undefi ned on input line 2384. LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 37 undefi ned on input line 2384. LaTeX Warning: Hyper reference `cmd/memory::doc' on page 37 undefined on input line 2387. LaTeX Warning: Hyper reference `cmd/memory:cmd-memory' on page 37 undefined on input line 2387. LaTeX Warning: Hyper reference `using_yosys/synthesis/memory::doc' on page 37 u ndefined on input line 2389. LaTeX Warning: Hyper reference `cmd/memory_collect:cmd-memory_collect' on page 37 undefined on input line 2390. LaTeX Warning: Hyper reference `cmd/memory_collect:cmd-memory_collect' on page 37 undefined on input line 2392. LaTeX Warning: Hyper reference `cmd/memory_collect:cmd-memory_collect' on page 37 undefined on input line 2400. LaTeX Warning: Hyper reference `cmd/memory_collect:cmd-memory_collect' on page 37 undefined on input line 2403. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 37 undefin ed on input line 2404. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 37 undefined on input line 2404. LaTeX Warning: Hyper reference `getting_started/example_synth:fifo-v' on page 3 7 undefined on input line 2405. LaTeX Warning: Hyper reference `using_yosys/synthesis/opt::doc' on page 37 unde fined on input line 2418. LaTeX Warning: Hyper reference `using_yosys/synthesis/memory::doc' on page 37 u ndefined on input line 2422. [37] [38 <./rdata_alumacc.pdf> <./rdata_coarse.pdf pdfTeX warning: pdflatex (file ./rdata_coarse.pdf): PDF inclusion: multiple pdf s with page group included in a single page >] LaTeX Warning: Hyper reference `getting_started/example_synth:synth-begin' on p age 39 undefined on input line 2441. LaTeX Warning: Hyper reference `getting_started/example_synth:map-ram' on page 39 undefined on input line 2442. LaTeX Warning: Hyper reference `cmd/memory_libmap:cmd-memory_libmap' on page 39 undefined on input line 2462. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 39 undefined o n input line 2463. LaTeX Warning: Hyper reference `getting_started/example_synth:map-ram' on page 39 undefined on input line 2477. LaTeX Warning: Hyper reference `getting_started/example_synth:map-ram' on page 39 undefined on input line 2480. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 39 undefined on input line 2480. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 39 undefined on input line 2482. LaTeX Warning: Hyper reference `getting_started/example_synth:fifo-v' on page 3 9 undefined on input line 2483. LaTeX Warning: Hyper reference `using_yosys/synthesis/memory:no-rw-check' on pa ge 39 undefined on input line 2487. [39 <./rdata_map_ram.pdf>] LaTeX Warning: Hyper reference `cmd/memory_libmap:cmd-memory_libmap' on page 40 undefined on input line 2491. LaTeX Warning: Hyper reference `cmd/debug:cmd-debug' on page 40 undefined on in put line 2491. [40] LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 41 undefined o n input line 2561. LaTeX Warning: Hyper reference `cmd/memory_map:cmd-memory_map' on page 41 undef ined on input line 2563. LaTeX Warning: Hyper reference `getting_started/example_synth:map-ffram' on pag e 41 undefined on input line 2577. [41 <./rdata_map_ffram.pdf>] LaTeX Warning: Hyper reference `cmd/opt_clean:cmd-opt_clean' on page 42 undefin ed on input line 2582. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 42 undefined on input line 2583. LaTeX Warning: Hyper reference `using_yosys/synthesis/techmap_synth::doc' on pa ge 42 undefined on input line 2596. LaTeX Warning: Hyper reference `using_yosys/synthesis/memory::doc' on page 42 u ndefined on input line 2600. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 42 undefined o n input line 2612. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 42 undefined on input line 2613. LaTeX Warning: Hyper reference `cell/word_reg:reg._adffe' on page 42 undefined on input line 2613. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__MUX_' on pa ge 42 undefined on input line 2614. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0P_' on page 4 2 undefined on input line 2614. LaTeX Warning: Hyper reference `cell/word_arith:arith._alu' on page 42 undefine d on input line 2614. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__OR_' on pag e 42 undefined on input line 2615. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOT_' on pa ge 42 undefined on input line 2615. LaTeX Warning: Hyper reference `cell/word_logic:logic._lut' on page 42 undefine d on input line 2615. LaTeX Warning: Hyper reference `getting_started/example_synth:map-gates' on pag e 42 undefined on input line 2631. LaTeX Warning: Hyper reference `using_yosys/synthesis/techmap_synth::doc' on pa ge 42 undefined on input line 2638. LaTeX Warning: Hyper reference `cmd/dfflegalize:cmd-dfflegalize' on page 42 und efined on input line 2648. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 42 undefined o n input line 2649. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0P_' on page 4 2 undefined on input line 2649. LaTeX Warning: Hyper reference `cmd/simplemap:cmd-simplemap' on page 42 undefin ed on input line 2653. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__MUX_' on pa ge 42 undefined on input line 2655. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__AND_' on pa ge 42 undefined on input line 2656. LaTeX Warning: Hyper reference `getting_started/example_synth:map-ffs' on page 42 undefined on input line 2672. [42] [43 <./rdata_map_gates.pdf>] [44 <./rdata_map_ffs.pdf>] LaTeX Warning: Hyper reference `using_yosys/synthesis/techmap_synth::doc' on pa ge 45 undefined on input line 2679. LaTeX Warning: Hyper reference `cmd/abc:cmd-abc' on page 45 undefined on input line 2689. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 45 undefined o n input line 2689. LaTeX Warning: Hyper reference `cell/word_logic:logic._lut' on page 45 undefine d on input line 2690. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 45 undefined on inpu t line 2690. LaTeX Warning: Hyper reference `cmd/abc:cmd-abc' on page 45 undefined on input line 2691. LaTeX Warning: Hyper reference `using_yosys/synthesis/abc::doc' on page 45 unde fined on input line 2692. LaTeX Warning: Hyper reference `getting_started/example_synth:map-luts' on page 45 undefined on input line 2715. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 45 undefined o n input line 2718. LaTeX Warning: Hyper reference `cell/word_logic:logic._lut' on page 45 undefine d on input line 2718. [45 <./rdata_map_luts.pdf>] LaTeX Warning: Hyper reference `getting_started/example_synth:map-cells' on pag e 46 undefined on input line 2732. LaTeX Warning: Hyper reference `using_yosys/synthesis/techmap_synth::doc' on pa ge 46 undefined on input line 2742. LaTeX Warning: Hyper reference `using_yosys/synthesis/abc::doc' on page 46 unde fined on input line 2746. LaTeX Warning: Hyper reference `cmd/hilomap:cmd-hilomap' on page 46 undefined o n input line 2761. LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available (Font) Font shape `T1/lmr/b/sl' tried instead on input line 2761. LaTeX Font Warning: Font shape `T1/lmtt/b/it' in size <10> not available (Font) Font shape `T1/lmtt/b/sl' tried instead on input line 2761. LaTeX Warning: Hyper reference `cmd/iopadmap:cmd-iopadmap' on page 46 undefined on input line 2767. LaTeX Warning: Hyper reference `getting_started/example_synth:map-cells' on pag e 46 undefined on input line 2774. LaTeX Warning: Hyper reference `getting_started/example_synth:check' on page 46 undefined on input line 2775. LaTeX Warning: Hyper reference `cmd/autoname::doc' on page 46 undefined on inpu t line 2798. LaTeX Warning: Hyper reference `cmd/stat::doc' on page 46 undefined on input li ne 2802. LaTeX Warning: Hyper reference `cmd/blackbox::doc' on page 46 undefined on inpu t line 2806. LaTeX Warning: Hyper reference `cmd/stat:cmd-stat' on page 46 undefined on inpu t line 2811. LaTeX Warning: Hyper reference `cmd/stat:cmd-stat' on page 46 undefined on inpu t line 2814. [46 <./rdata_map_cells.pdf>] LaTeX Warning: Hyper reference `cmd/stat:cmd-stat' on page 47 undefined on inpu t line 2844. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 47 undefin ed on input line 2846. LaTeX Warning: Hyper reference `cmd/stat:cmd-stat' on page 47 undefined on inpu t line 2850. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 47 undefined on inpu t line 2851. LaTeX Warning: Hyper reference `cmd/stat:cmd-stat' on page 47 undefined on inpu t line 2856. Overfull \vbox (3.16997pt too high) detected at line 2899 [47] LaTeX Warning: Hyper reference `cmd/write_blif::doc' on page 48 undefined on in put line 2915. LaTeX Warning: Hyper reference `cmd/write_edif::doc' on page 48 undefined on in put line 2919. LaTeX Warning: Hyper reference `cmd/write_json::doc' on page 48 undefined on in put line 2923. LaTeX Warning: Hyper reference `cmd/read_json:cmd-read_json' on page 48 undefin ed on input line 2932. LaTeX Warning: Hyper reference `cmd/synth_ice40::doc' on page 48 undefined on i nput line 2943. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 48 u ndefined on input line 2962. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 48 undefin ed on input line 2962. [48{/usr/share/texmf/fonts/enc/dvips/lm/lm-rm.enc}] LaTeX Warning: Hyper reference `cmd/exec:cmd-exec' on page 49 undefined on inpu t line 2997. LaTeX Warning: Hyper reference `getting_started/example_synth::doc' on page 49 undefined on input line 3006. LaTeX Warning: Hyper reference `getting_started/example_synth:addr-gen-example' on page 49 undefined on input line 3011. LaTeX Warning: Hyper reference `getting_started/example_synth:addr-gen-example' on page 49 undefined on input line 3011. [49] LaTeX Warning: Hyper reference `cmd/echo:cmd-echo' on page 50 undefined on inpu t line 3040. LaTeX Warning: Hyper reference `getting_started/example_synth:hierarchy-output' on page 50 undefined on input line 3042. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 50 undefined on input line 3051. LaTeX Warning: Hyper reference `cmd/synth_ice40:cmd-synth_ice40' on page 50 und efined on input line 3051. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 50 undefined on input line 3058. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 50 undefi ned on input line 3110. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 50 undefin ed on input line 3110. LaTeX Warning: Hyper reference `getting_started/example_synth:addr-gen-hier' on page 50 undefined on input line 3111. [50] LaTeX Warning: Hyper reference `getting_started/scripting_intro:fifo-ys' on pag e 51 undefined on input line 3127. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 51 undefined on in put line 3139. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections::doc' on page 51 undefined on input line 3144. LaTeX Warning: Hyper reference `cmd/select::doc' on page 51 undefined on input line 3145. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 51 undefined on input line 3151. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 51 undefined on inpu t line 3152. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 51 undefined on inpu t line 3153. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:interactive-show' on page 51 undefined on input line 3155. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation::doc' on page 51 undefined on input line 3156. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 51 undefin ed on input line 3165. [51 <./addr_gen_show.pdf>] LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 52 undefined on inpu t line 3169. LaTeX Warning: Hyper reference `getting_started/scripting_intro:fifo-ys' on pag e 52 undefined on input line 3177. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 52 undefined on inpu t line 3189. LaTeX Warning: Hyper reference `getting_started/scripting_intro:select-intro' o n page 52 undefined on input line 3217. LaTeX Warning: Hyper reference `getting_started/scripting_intro:select-new-cell s' on page 52 undefined on input line 3220. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 52 undefi ned on input line 3223. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 52 undefin ed on input line 3224. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 52 undefined on inpu t line 3224. LaTeX Warning: Hyper reference `getting_started/example_synth::doc' on page 52 undefined on input line 3244. LaTeX Warning: Hyper reference `cmd/help:cmd-help' on page 52 undefined on inpu t line 3261. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 52 undefined on inpu t line 3261. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 52 undefined on inpu t line 3261. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 52 undefined on inpu t line 3266. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 52 undefined on inpu t line 3274. LaTeX Warning: Hyper reference `cmd/show::doc' on page 52 undefined on input li ne 3275. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:interactive-show' on page 52 undefined on input line 3281. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation::doc' on page 52 undefined on input line 3282. [52] [53 <./new_cells_show.pdf>] [54] Chapter 3. LaTeX Warning: Hyper reference `using_yosys/synthesis/index::doc' on page 55 un defined on input line 3297. LaTeX Warning: Hyper reference `getting_started/example_synth::doc' on page 55 undefined on input line 3298. LaTeX Warning: Hyper reference `using_yosys/more_scripting/index::doc' on page 55 undefined on input line 3299. LaTeX Warning: Hyper reference `getting_started/example_synth::doc' on page 55 undefined on input line 3309. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 55 undefined on inpu t line 3316. LaTeX Warning: Hyper reference `cmd/fsm:cmd-fsm' on page 55 undefined on input line 3316. LaTeX Warning: Hyper reference `cmd/memory:cmd-memory' on page 55 undefined on input line 3316. LaTeX Warning: Hyper reference `cmd/wreduce:cmd-wreduce' on page 55 undefined o n input line 3318. LaTeX Warning: Hyper reference `cmd/share:cmd-share' on page 55 undefined on in put line 3318. LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 55 undefined o n input line 3318. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 55 undefined on input line 3318. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 55 undefined o n input line 3319. LaTeX Warning: Hyper reference `cmd/abc:cmd-abc' on page 55 undefined on input line 3325. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 55 undefined on inpu t line 3325. LaTeX Warning: Hyper reference `cmd/simplemap:cmd-simplemap' on page 55 undefin ed on input line 3325. LaTeX Warning: Hyper reference `cmd/dfflegalize:cmd-dfflegalize' on page 55 und efined on input line 3325. LaTeX Warning: Hyper reference `cmd/memory_map:cmd-memory_map' on page 55 undef ined on input line 3326. LaTeX Warning: Hyper reference `cmd/synth_achronix::doc' on page 55 undefined o n input line 3349. LaTeX Warning: Hyper reference `cmd/synth_anlogic::doc' on page 55 undefined on input line 3353. LaTeX Warning: Hyper reference `cmd/synth_coolrunner2::doc' on page 55 undefine d on input line 3357. LaTeX Warning: Hyper reference `cmd/synth_easic::doc' on page 55 undefined on i nput line 3361. LaTeX Warning: Hyper reference `cmd/synth_ecp5::doc' on page 55 undefined on in put line 3365. LaTeX Warning: Hyper reference `cmd/synth_efinix::doc' on page 55 undefined on input line 3369. [55] LaTeX Warning: Hyper reference `cmd/synth_fabulous::doc' on page 56 undefined o n input line 3373. LaTeX Warning: Hyper reference `cmd/synth_gatemate::doc' on page 56 undefined o n input line 3377. LaTeX Warning: Hyper reference `cmd/synth_gowin::doc' on page 56 undefined on i nput line 3381. LaTeX Warning: Hyper reference `cmd/synth_greenpak4::doc' on page 56 undefined on input line 3385. LaTeX Warning: Hyper reference `cmd/synth_ice40::doc' on page 56 undefined on i nput line 3389. LaTeX Warning: Hyper reference `cmd/synth_intel::doc' on page 56 undefined on i nput line 3393. LaTeX Warning: Hyper reference `cmd/synth_intel_alm::doc' on page 56 undefined on input line 3397. LaTeX Warning: Hyper reference `cmd/synth_lattice::doc' on page 56 undefined on input line 3401. LaTeX Warning: Hyper reference `cmd/synth_nexus::doc' on page 56 undefined on i nput line 3405. LaTeX Warning: Hyper reference `cmd/synth_quicklogic::doc' on page 56 undefined on input line 3409. LaTeX Warning: Hyper reference `cmd/synth_sf2::doc' on page 56 undefined on inp ut line 3413. LaTeX Warning: Hyper reference `cmd/synth_xilinx::doc' on page 56 undefined on input line 3417. LaTeX Warning: Hyper reference `cmd/prep::doc' on page 56 undefined on input li ne 3426. LaTeX Warning: Hyper reference `cmd/prep:cmd-prep' on page 56 undefined on inpu t line 3431. LaTeX Warning: Hyper reference `getting_started/example_synth::doc' on page 56 undefined on input line 3459. [56] LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 57 undefined on inpu t line 3469. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 57 undefined on inpu t line 3475. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 57 undefined on input line 3491. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 57 undefined on inpu t line 3494. LaTeX Warning: Hyper reference `cmd/proc::doc' on page 57 undefined on input li ne 3495. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 57 undefined on inpu t line 3499. [57] [58 <./proc_01.pdf> <./proc_02.pdf pdfTeX warning: pdflatex (file ./proc_02.pdf): PDF inclusion: multiple pdfs wit h page group included in a single page >] Underfull \vbox (badness 2726) detected at line 3587 [59 <./proc_03.pdf>] LaTeX Warning: Hyper reference `cmd/fsm:cmd-fsm' on page 60 undefined on input line 3595. LaTeX Warning: Hyper reference `cmd/fsm:cmd-fsm' on page 60 undefined on input line 3600. LaTeX Warning: Hyper reference `cmd/fsm::doc' on page 60 undefined on input lin e 3629. LaTeX Warning: Hyper reference `cmd/fsm_detect:cmd-fsm_detect' on page 60 undef ined on input line 3639. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 60 undefined on input line 3653. LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 60 undefined o n input line 3653. [60] LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 61 undefined on input line 3657. LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 61 undefined o n input line 3657. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 61 undefin ed on input line 3663. LaTeX Warning: Hyper reference `cmd/fsm_extract:cmd-fsm_extract' on page 61 und efined on input line 3683. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 61 undefined on input line 3717. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 61 undefined on input line 3718. LaTeX Warning: Hyper reference `cmd/fsm_extract:cmd-fsm_extract' on page 61 und efined on input line 3741. [61] LaTeX Warning: Hyper reference `cell/word_fsm:fsm._fsm' on page 62 undefined on input line 3782. LaTeX Warning: Hyper reference `cmd/fsm_opt:cmd-fsm_opt' on page 62 undefined o n input line 3790. LaTeX Warning: Hyper reference `cell/word_fsm:fsm._fsm' on page 62 undefined on input line 3790. LaTeX Warning: Hyper reference `cell/word_fsm:fsm._fsm' on page 62 undefined on input line 3795. LaTeX Warning: Hyper reference `cmd/opt_clean:cmd-opt_clean' on page 62 undefin ed on input line 3796. LaTeX Warning: Hyper reference `cmd/fsm_recode:cmd-fsm_recode' on page 62 undef ined on input line 3830. LaTeX Warning: Hyper reference `cmd/fsm_recode:cmd-fsm_recode' on page 62 undef ined on input line 3835. LaTeX Warning: Hyper reference `cmd/memory:cmd-memory' on page 62 undefined on input line 3849. LaTeX Warning: Hyper reference `cmd/memory:cmd-memory' on page 62 undefined on input line 3855. [62] LaTeX Warning: Hyper reference `cmd/memory_dff:cmd-memory_dff' on page 63 undef ined on input line 3881. LaTeX Warning: Hyper reference `cmd/memory_collect:cmd-memory_collect' on page 63 undefined on input line 3885. LaTeX Warning: Hyper reference `cmd/memory_map:cmd-memory_map' on page 63 undef ined on input line 3890. LaTeX Warning: Hyper reference `cmd/memory:cmd-memory' on page 63 undefined on input line 3896. LaTeX Warning: Hyper reference `cmd/memory::doc' on page 63 undefined on input line 3897. [63 <./memory_01.pdf>] [64 <./memory_02.pdf>] LaTeX Warning: Hyper reference `cmd/memory_libmap:cmd-memory_libmap' on page 65 undefined on input line 3996. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 65 undefined on input line 3996. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 65 undefined o n input line 4002. LaTeX Warning: Hyper reference `cmd/memory_map:cmd-memory_map' on page 65 undef ined on input line 4003. LaTeX Warning: Hyper reference `cmd/debug:cmd-debug' on page 65 undefined on in put line 4010. LaTeX Warning: Hyper reference `cmd/memory_libmap:cmd-memory_libmap' on page 65 undefined on input line 4015. [65] LaTeX Warning: Hyper reference `using_yosys/synthesis/memory:wbe' on page 66 un defined on input line 4024. [66] LaTeX Warning: Hyper reference `using_yosys/synthesis/memory:sr-init' on page 6 7 undefined on input line 4238. [67] [68] [69] [70] [71] [72] [73] [74] Overfull \vbox (2.7645pt too high) detected at line 4903 [75] LaTeX Warning: Hyper reference `using_yosys/synthesis/memory:sdp-wf' on page 76 undefined on input line 4917. LaTeX Warning: Hyper reference `using_yosys/synthesis/memory:wide-sr' on page 7 6 undefined on input line 4939. [76] LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 77 undefined on input line 5004. LaTeX Warning: Hyper reference `cmd/opt::doc' on page 77 undefined on input lin e 5007. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 77 undefined on input line 5010. LaTeX Warning: Hyper reference `yosys_internals/index::doc' on page 77 undefine d on input line 5030. LaTeX Warning: Hyper reference `cell_index::doc' on page 77 undefined on input line 5035. [77] LaTeX Warning: Hyper reference `using_yosys/synthesis/opt:tab-opt-expr-and' on page 78 undefined on input line 5166. LaTeX Warning: Reference `using_yosys/synthesis/opt:tab-opt-expr-and' on page 7 8 undefined on input line 5166. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__AND_' on pa ge 78 undefined on input line 5167. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__AND_' on pa ge 78 undefined on input line 5181. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 78 undefined on input line 5185. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 78 undefin ed on input line 5186. LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 78 undefin ed on input line 5186. LaTeX Warning: Hyper reference `getting_started/example_synth:addr-gen-clean' o n page 78 undefined on input line 5191. LaTeX Warning: Hyper reference `getting_started/example_synth::doc' on page 78 undefined on input line 5191. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 78 undefined on input line 5194. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 78 undefined on input line 5194. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 78 undefined on input line 5199. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 78 undefined on input line 5214. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 78 undefined on input line 5226. LaTeX Warning: Hyper reference `cell/word_mux:mux._pmux' on page 78 undefined o n input line 5226. LaTeX Warning: Hyper reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 78 und efined on input line 5227. [78] [79 <./opt_expr.pdf>] LaTeX Warning: Hyper reference `cmd/opt_merge:cmd-opt_merge' on page 80 undefin ed on input line 5231. LaTeX Warning: Hyper reference `cmd/opt_merge:cmd-opt_merge' on page 80 undefin ed on input line 5246. LaTeX Warning: Hyper reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 80 und efined on input line 5256. LaTeX Warning: Hyper reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 80 und efined on input line 5267. LaTeX Warning: Hyper reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 80 und efined on input line 5276. [80 <./opt_merge.pdf>] [81 <./opt_muxtree.pdf>] LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 82 u ndefined on input line 5283. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 82 un defined on input line 5283. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 82 u ndefined on input line 5284. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 82 un defined on input line 5284. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 82 un defined on input line 5289. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 82 u ndefined on input line 5293. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 82 un defined on input line 5294. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 82 u ndefined on input line 5294. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 82 un defined on input line 5294. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 82 undefined on input line 5311. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__MUX_' on pa ge 82 undefined on input line 5311. LaTeX Warning: Hyper reference `cell/word_mux:mux._pmux' on page 82 undefined o n input line 5311. LaTeX Warning: Hyper reference `cmd/opt_share:cmd-opt_share' on page 82 undefin ed on input line 5322. LaTeX Warning: Hyper reference `cmd/opt_share:cmd-opt_share' on page 82 undefin ed on input line 5337. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 82 undefined on input line 5340. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 82 undefined on input line 5340. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 82 undefined on input line 5341. [82 <./opt_share.pdf>] LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 83 undefined on input line 5352. LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 83 undefined o n input line 5352. LaTeX Warning: Hyper reference `using_yosys/synthesis/fsm::doc' on page 83 unde fined on input line 5359. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 83 undefined on input line 5373. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 83 undefined on input line 5375. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 83 undefined on input line 5378. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 83 undefined on input line 5379. LaTeX Warning: Hyper reference `cmd/freduce:cmd-freduce' on page 83 undefined o n input line 5379. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 83 undefined on in put line 5383. LaTeX Warning: Hyper reference `cmd/opt_clean:cmd-opt_clean' on page 83 undefin ed on input line 5383. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 83 undefined on in put line 5388. LaTeX Warning: Hyper reference `cmd/wreduce::doc' on page 83 undefined on input line 5404. LaTeX Warning: Hyper reference `cmd/peepopt::doc' on page 83 undefined on input line 5408. LaTeX Warning: Hyper reference `cmd/share::doc' on page 83 undefined on input l ine 5412. LaTeX Warning: Hyper reference `cmd/abc:cmd-abc' on page 83 undefined on input line 5416. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 83 undefined on inpu t line 5416. LaTeX Warning: Hyper reference `using_yosys/synthesis/abc::doc' on page 83 unde fined on input line 5416. [83] LaTeX Warning: Hyper reference `cell/index_gate:sec-celllib-gates' on page 84 u ndefined on input line 5440. LaTeX Warning: Hyper reference `cmd/techmap::doc' on page 84 undefined on input line 5466. LaTeX Warning: Hyper reference `appendix/auxlibs:sec-subcircuit' on page 84 und efined on input line 5505. [84] LaTeX Warning: Hyper reference `appendix/auxprogs:sec-filterlib' on page 85 und efined on input line 5549. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 85 undefined o n input line 5559. LaTeX Warning: Hyper reference `cmd/extract:cmd-extract' on page 85 undefined o n input line 5559. LaTeX Warning: Hyper reference `cmd/extract:cmd-extract' on page 85 undefined o n input line 5566. LaTeX Warning: Hyper reference `cmd/extract:cmd-extract' on page 85 undefined o n input line 5571. LaTeX Warning: Hyper reference `cmd/extract:cmd-extract' on page 85 undefined o n input line 5594. LaTeX Warning: Hyper reference `cmd/extract:cmd-extract' on page 85 undefined o n input line 5605. [85] [86 <./macc_simple_test_00a.pdf> <./macc_simple_test_00b.pdf pdfTeX warning: pdflatex (file ./macc_simple_test_00b.pdf): PDF inclusion: mult iple pdfs with page group included in a single page >] [87 <./macc_simple_test_01a.pdf> <./macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./macc_simple_test_01b.pdf): PDF inclusion: mult iple pdfs with page group included in a single page >] LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 88 undefined o n input line 5686. LaTeX Warning: Hyper reference `cmd/connwrappers:cmd-connwrappers' on page 88 u ndefined on input line 5688. [88 <./macc_simple_test_02a.pdf> <./macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./macc_simple_test_02b.pdf): PDF inclusion: mult iple pdfs with page group included in a single page >] LaTeX Warning: Hyper reference `cmd/extract:cmd-extract' on page 89 undefined o n input line 5694. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 89 undefined o n input line 5699. [89] Underfull \vbox (badness 5985) detected at line 5805 [90] [91] LaTeX Warning: Hyper reference `cmd/extract:cmd-extract' on page 92 undefined o n input line 5875. Underfull \hbox (badness 10000) in paragraph at lines 5881--5881 []\T1/lmr/m/n/10 Listing 3.27: |[]\T1/lmtt/m/n/10 $__mul_wrapper \T1/lmr/m/n/10 mod-ule in [92] Underfull \hbox (badness 10000) in paragraph at lines 5918--5918 []\T1/lmr/m/n/10 Listing 3.28: |[]\T1/lmtt/m/n/10 $__add_wrapper \T1/lmr/m/n/10 mod-ule in [93] [94 <./macc_xilinx_test1a.pdf> <./macc_xilinx_test1b.pdf pdfTeX warning: pdflatex (file ./macc_xilinx_test1b.pdf): PDF inclusion: multip le pdfs with page group included in a single page >] [95 <./macc_xilinx_test2a.pdf> <./macc_xilinx_test2b.pdf pdfTeX warning: pdflatex (file ./macc_xilinx_test2b.pdf): PDF inclusion: multip le pdfs with page group included in a single page >] [96 <./macc_xilinx_test1c.pdf>] [97 <./macc_xilinx_test2c.pdf>] LaTeX Warning: Hyper reference `cmd/abc:cmd-abc' on page 98 undefined on input line 6128. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 98 undefined on inpu t line 6136. [98 <./macc_xilinx_test1d.pdf> <./macc_xilinx_test2d.pdf pdfTeX warning: pdflatex (file ./macc_xilinx_test2d.pdf): PDF inclusion: multip le pdfs with page group included in a single page >] LaTeX Warning: Hyper reference `cmd/abc:cmd-abc' on page 99 undefined on input line 6144. [99 <./macc_xilinx_test2e.pdf>] LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 100 undefined on input line 6301. [100] LaTeX Warning: Hyper reference `cmd/dfflegalize:cmd-dfflegalize' on page 101 un defined on input line 6323. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 101 undefined on inp ut line 6338. LaTeX Warning: Hyper reference `cmd/synth_intel_alm:cmd-synth_intel_alm' on pag e 101 undefined on input line 6339. LaTeX Warning: Hyper reference `cmd/synth_quicklogic:cmd-synth_quicklogic' on p age 101 undefined on input line 6340. LaTeX Warning: Hyper reference `getting_started/example_synth::doc' on page 101 undefined on input line 6375. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:interactive-show' on page 101 undefined on input line 6384. [101] LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 102 undefi ned on input line 6433. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 102 undefined on input line 6467. [102] [103 <./counter_00.pdf> <./counter_01.pdf pdfTeX warning: pdflatex (file ./counter_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \vbox (badness 10000) detected at line 6521 Overfull \vbox (1.05281pt too high) detected at line 6521 [104 <./counter_02.pdf>] LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOT_' on pa ge 105 undefined on input line 6524. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__AND_' on pa ge 105 undefined on input line 6524. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__OR_' on pag e 105 undefined on input line 6524. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__XOR_' on pa ge 105 undefined on input line 6525. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__MUX_' on pa ge 105 undefined on input line 6525. LaTeX Warning: Hyper reference `using_yosys/synthesis/cell_libs:mycells-lib' on page 105 undefined on input line 6526. LaTeX Warning: Hyper reference `cmd/dfflibmap:cmd-dfflibmap' on page 105 undefi ned on input line 6528. LaTeX Warning: Hyper reference `cmd/abc:cmd-abc' on page 105 undefined on input line 6528. LaTeX Warning: Hyper reference `cmd/write_verilog:cmd-write_verilog' on page 10 5 undefined on input line 6552. [105 <./counter_03.pdf>] LaTeX Warning: Hyper reference `cmd/read_verilog::doc' on page 106 undefined on input line 6586. LaTeX Warning: Hyper reference `cmd/verific:cmd-verific' on page 106 undefined on input line 6620. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/build_verific:: doc' on page 106 undefined on input line 6624. LaTeX Warning: Hyper reference `cmd/read::doc' on page 106 undefined on input l ine 6632. LaTeX Warning: Hyper reference `cmd/read_rtlil::doc' on page 106 undefined on i nput line 6640. LaTeX Warning: Hyper reference `cmd/read_aiger::doc' on page 106 undefined on i nput line 6644. LaTeX Warning: Hyper reference `cmd/read_blif::doc' on page 106 undefined on in put line 6648. LaTeX Warning: Hyper reference `cmd/read_json::doc' on page 106 undefined on in put line 6652. LaTeX Warning: Hyper reference `cmd/read_liberty::doc' on page 106 undefined on input line 6656. [106] LaTeX Warning: Hyper reference `getting_started/scripting_intro::doc' on page 1 07 undefined on input line 6670. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 107 undefined on input line 6674. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 107 undefined on input line 6683. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 107 undefined on input line 6700. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 107 undefined on input line 6702. LaTeX Warning: Hyper reference `cmd/delete:cmd-delete' on page 107 undefined on input line 6705. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 107 undefined on input line 6709. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 107 undefined on inp ut line 6714. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:interactive-show' on page 107 undefined on input line 6716. LaTeX Warning: Hyper reference `cmd/cd:cmd-cd' on page 107 undefined on input l ine 6741. [107] LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation::doc' on page 108 undefined on input line 6761. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 108 undef ined on input line 6798. LaTeX Warning: Hyper reference `cmd/select::doc' on page 108 undefined on input line 6805. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 108 undefined on input line 6814. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 108 undef ined on input line 6820. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:foobaradd sub' on page 108 undefined on input line 6836. LaTeX Warning: Reference `using_yosys/more_scripting/selections:foobaraddsub' o n page 108 undefined on input line 6836. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:foobaradd sub' on page 108 undefined on input line 6836. LaTeX Warning: Reference `using_yosys/more_scripting/selections:foobaraddsub' o n page 108 undefined on input line 6836. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 108 undef ined on input line 6851. [108] LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:foobaradd sub' on page 109 undefined on input line 6854. LaTeX Warning: Reference `using_yosys/more_scripting/selections:foobaraddsub' o n page 109 undefined on input line 6854. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:foobaradd sub' on page 109 undefined on input line 6854. LaTeX Warning: Reference `using_yosys/more_scripting/selections:foobaraddsub' o n page 109 undefined on input line 6854. LaTeX Warning: Hyper reference `cmd/select::doc' on page 109 undefined on input line 6881. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:sumprod' on page 109 undefined on input line 6887. LaTeX Warning: Reference `using_yosys/more_scripting/selections:sumprod' on pag e 109 undefined on input line 6887. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:sumprod' on page 109 undefined on input line 6917. LaTeX Warning: Reference `using_yosys/more_scripting/selections:sumprod' on pag e 109 undefined on input line 6917. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:sumprod-0 1' on page 109 undefined on input line 6934. LaTeX Warning: Reference `using_yosys/more_scripting/selections:sumprod-01' on page 109 undefined on input line 6934. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:sumprod' on page 109 undefined on input line 6941. LaTeX Warning: Reference `using_yosys/more_scripting/selections:sumprod' on pag e 109 undefined on input line 6941. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:sumprod-0 1' on page 109 undefined on input line 6947. LaTeX Warning: Reference `using_yosys/more_scripting/selections:sumprod-01' on page 109 undefined on input line 6947. LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 109 undefined on input line 6950. [109] [110 <./sumprod_00.pdf> <./sumprod_01.pdf pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:sumprod' on page 111 undefined on input line 6968. LaTeX Warning: Reference `using_yosys/more_scripting/selections:sumprod' on pag e 111 undefined on input line 6968. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:sumprod' on page 111 undefined on input line 6977. LaTeX Warning: Reference `using_yosys/more_scripting/selections:sumprod' on pag e 111 undefined on input line 6977. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:sumprod' on page 111 undefined on input line 6986. LaTeX Warning: Reference `using_yosys/more_scripting/selections:sumprod' on pag e 111 undefined on input line 6986. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:sumprod' on page 111 undefined on input line 6995. LaTeX Warning: Reference `using_yosys/more_scripting/selections:sumprod' on pag e 111 undefined on input line 6995. LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 111 undef ined on input line 7003. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 111 undefined on inp ut line 7005. LaTeX Warning: Hyper reference `cmd/dump:cmd-dump' on page 111 undefined on inp ut line 7007. [111 <./sumprod_02.pdf> <./sumprod_03.pdf pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [112 <./sumprod_04.pdf> <./sumprod_05.pdf pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:memdemo-s rc' on page 113 undefined on input line 7075. LaTeX Warning: Reference `using_yosys/more_scripting/selections:memdemo-src' on page 113 undefined on input line 7075. [113] LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:memdemo-s rc' on page 114 undefined on input line 7108. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:memdemo-s rc' on page 114 undefined on input line 7114. LaTeX Warning: Reference `using_yosys/more_scripting/selections:memdemo-src' on page 114 undefined on input line 7114. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 114 undefined on inp ut line 7117. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:memdemo-0 0' on page 114 undefined on input line 7118. LaTeX Warning: Reference `using_yosys/more_scripting/selections:memdemo-00' on page 114 undefined on input line 7118. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:memdemo-s rc' on page 114 undefined on input line 7125. LaTeX Warning: Reference `using_yosys/more_scripting/selections:memdemo-src' on page 114 undefined on input line 7125. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:id2' on p age 114 undefined on input line 7131. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 114 undefined o n input line 7145. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 114 undefined o n input line 7168. [114 <./memdemo_00.pdf>] [115 <./memdemo_01.pdf> <./memdemo_02.pdf pdfTeX warning: pdflatex (file ./memdemo_02.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 116 undefined o n input line 7201. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 116 undefined o n input line 7201. LaTeX Warning: Hyper reference `cmd/select::doc' on page 116 undefined on input line 7230. [116 <./memdemo_03.pdf>] [117 <./memdemo_05.pdf> <./memdemo_04.pdf pdfTeX warning: pdflatex (file ./memdemo_04.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Hyper reference `cmd/select:cmd-select' on page 118 undefined on input line 7273. LaTeX Warning: Hyper reference `cmd/history:cmd-history' on page 118 undefined on input line 7284. [118] LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:select-ys ' on page 119 undefined on input line 7334. LaTeX Warning: Reference `using_yosys/more_scripting/selections:select-ys' on p age 119 undefined on input line 7334. LaTeX Warning: Hyper reference `getting_started/scripting_intro::doc' on page 1 19 undefined on input line 7351. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 119 undefined on inp ut line 7355. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:example-v' on page 119 undefined on input line 7363. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 119 undefined on inp ut line 7364. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:example-ys' on page 119 undefined on input line 7378. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: example-ys' on page 119 undefined on input line 7378. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 119 undefined on inp ut line 7378. [119 <./select.pdf>] LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 120 undefined on inp ut line 7414. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:example-ys' on page 120 undefined on input line 7414. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: example-ys' on page 120 undefined on input line 7414. LaTeX Warning: Hyper reference `cell_index::doc' on page 120 undefined on input line 7423. [120 <./example_first.pdf>] LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 121 undefined o n input line 7441. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 121 undefined on inp ut line 7445. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 121 undefined on inp ut line 7453. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:example-ys' on page 121 undefined on input line 7453. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: example-ys' on page 121 undefined on input line 7453. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 121 undefined on inp ut line 7459. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 121 undefined on i nput line 7462. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 121 undefined on input line 7463. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 121 undefined on i nput line 7463. LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 121 undefined on i nput line 7467. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 121 undefined on inp ut line 7468. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 121 undefined on input line 7471. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 121 undefined on inp ut line 7479. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:example-ys' on page 121 undefined on input line 7479. LaTeX Warning: Hyper reference `cmd/opt:cmd-opt' on page 121 undefined on input line 7482. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 121 undefined on inp ut line 7483. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 121 undefined o n input line 7484. [121 <./example_second.pdf>] LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 122 undefined on inp ut line 7509. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:splice-dia' on page 122 undefined on input line 7510. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: splice-dia' on page 122 undefined on input line 7510. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:first-pitfall' on page 122 undefined on input line 7545. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: first-pitfall' on page 122 undefined on input line 7545. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 122 undefined on inp ut line 7554. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:first-pitfall' on page 122 undefined on input line 7557. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: first-pitfall' on page 122 undefined on input line 7557. [122 <./example_third.pdf>] [123 <./splice.pdf>] [124 <./cmos_00.pdf>] LaTeX Warning: Hyper reference `cmd/splitnets:cmd-splitnets' on page 125 undefi ned on input line 7578. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:first-pitfall' on page 125 undefined on input line 7578. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: first-pitfall' on page 125 undefined on input line 7578. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:second-pitfall' on page 125 undefined on input line 7581. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: second-pitfall' on page 125 undefined on input line 7581. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:second-pitfall' on page 125 undefined on input line 7591. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: second-pitfall' on page 125 undefined on input line 7591. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 125 undefined on inp ut line 7594. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 125 undefined on inp ut line 7600. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:second-pitfall' on page 125 undefined on input line 7603. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: second-pitfall' on page 125 undefined on input line 7603. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 125 undefined on inp ut line 7615. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 125 undefined on inp ut line 7624. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 125 undefined on inp ut line 7634. [125 <./cmos_01.pdf>] LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 126 undefined on input line 7649. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 126 undefined on input line 7651. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 126 undefined on input line 7652. LaTeX Warning: Hyper reference `cmd/shell:cmd-shell' on page 126 undefined on i nput line 7669. LaTeX Warning: Hyper reference `cmd/ls:cmd-ls' on page 126 undefined on input l ine 7676. LaTeX Warning: Hyper reference `cmd/cd:cmd-cd' on page 126 undefined on input l ine 7677. LaTeX Warning: Hyper reference `cmd/ls:cmd-ls' on page 126 undefined on input l ine 7678. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:a-simple-circuit' on page 126 undefined on input line 7680. LaTeX Warning: Hyper reference `cmd/ls:cmd-ls' on page 126 undefined on input l ine 7683. LaTeX Warning: Hyper reference `cmd/cd:cmd-cd' on page 126 undefined on input l ine 7683. LaTeX Warning: Hyper reference `cmd/ls:cmd-ls' on page 126 undefined on input l ine 7683. LaTeX Warning: Hyper reference `cmd/cd:cmd-cd' on page 126 undefined on input l ine 7683. [126] LaTeX Warning: Hyper reference `cmd/cd:cmd-cd' on page 127 undefined on input l ine 7712. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:example-out' on page 127 undefined on input line 7718. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: example-out' on page 127 undefined on input line 7718. LaTeX Warning: Hyper reference `cmd/cd:cmd-cd' on page 127 undefined on input l ine 7725. LaTeX Warning: Hyper reference `cmd/dump:cmd-dump' on page 127 undefined on inp ut line 7732. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:lscd' on page 127 undefined on input line 7742. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: lscd' on page 127 undefined on input line 7742. LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep::doc' on page 127 undefined on input line 7760. LaTeX Warning: Hyper reference `cmd/submod:cmd-submod' on page 127 undefined on input line 7776. LaTeX Warning: Hyper reference `cmd/expose:cmd-expose' on page 127 undefined on input line 7776. LaTeX Warning: Hyper reference `cmd/splice:cmd-splice' on page 127 undefined on input line 7776. LaTeX Warning: Hyper reference `cmd/eval:cmd-eval' on page 127 undefined on inp ut line 7781. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 127 undefined on input line 7781. LaTeX Warning: Hyper reference `cmd/show::doc' on page 127 undefined on input l ine 7786. LaTeX Warning: Hyper reference `cmd/dump::doc' on page 127 undefined on input l ine 7790. LaTeX Warning: Hyper reference `cmd/add::doc' on page 127 undefined on input li ne 7794. LaTeX Warning: Hyper reference `cmd/delete::doc' on page 127 undefined on input line 7794. [127] LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 128 undefined on input line 7807. LaTeX Warning: Hyper reference `cmd/submod:cmd-submod' on page 128 undefined on input line 7807. LaTeX Warning: Hyper reference `cmd/submod:cmd-submod' on page 128 undefined on input line 7810. LaTeX Warning: Hyper reference `cmd/eval::doc' on page 128 undefined on input l ine 7854. [128 <./scrambler_p01.pdf> <./scrambler_p02.pdf pdfTeX warning: pdflatex (file ./scrambler_p02.pdf): PDF inclusion: multiple pd fs with page group included in a single page >] LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 129 undefined on input line 7883. Overfull \vbox (2.44472pt too high) detected at line 7922 [129] LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 130 undefined on input line 7925. LaTeX Warning: Hyper reference `yosys_internals/techmap::doc' on page 130 undef ined on input line 7926. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:advanced- logic-cones' on page 130 undefined on input line 7941. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:submod' on page 130 undefined on input line 7952. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: submod' on page 130 undefined on input line 7952. LaTeX Warning: Hyper reference `cmd/submod:cmd-submod' on page 130 undefined on input line 7953. LaTeX Warning: Hyper reference `cmd/submod:cmd-submod' on page 130 undefined on input line 7957. LaTeX Warning: Hyper reference `cmd/submod:cmd-submod' on page 130 undefined on input line 7957. LaTeX Warning: Hyper reference `cmd/eval:cmd-eval' on page 130 undefined on inp ut line 7996. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:selstage' on page 130 undefined on input line 7998. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: selstage' on page 130 undefined on input line 7998. [130] [131 <./submod_02.pdf> <./submod_03.pdf pdfTeX warning: pdflatex (file ./submod_03.pdf): PDF inclusion: multiple pdfs w ith page group included in a single page > <./submod_01.pdf pdfTeX warning: pdflatex (file ./submod_01.pdf): PDF inclusion: multiple pdfs w ith page group included in a single page >] LaTeX Warning: Hyper reference `cmd/eval:cmd-eval' on page 132 undefined on inp ut line 8048. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 132 undefined on input line 8048. LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 132 undefined on input line 8050. LaTeX Warning: Hyper reference `cmd/eval:cmd-eval' on page 132 undefined on inp ut line 8058. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 132 undefined on input line 8062. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 132 undefined on input line 8072. LaTeX Warning: Hyper reference `cmd/eval:cmd-eval' on page 132 undefined on inp ut line 8072. [132] LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 133 undefined on input line 8105. LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:primetest' on page 133 undefined on input line 8124. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: primetest' on page 133 undefined on input line 8124. [133] LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:prime-shell' on page 134 undefined on input line 8167. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: prime-shell' on page 134 undefined on input line 8167. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 134 undefined on input line 8174. [134] LaTeX Warning: Hyper reference `using_yosys/more_scripting/interactive_investig ation:prime-fixed' on page 135 undefined on input line 8213. LaTeX Warning: Reference `using_yosys/more_scripting/interactive_investigation: prime-fixed' on page 135 undefined on input line 8213. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections:advanced- logic-cones' on page 135 undefined on input line 8230. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 135 undefined on input line 8246. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 135 undefined on input line 8252. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 135 undefined on input line 8257. [135] [136] LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 137 undefined on input line 8356. [137] LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 138 undefined on input line 8390. [138] [139] Underfull \vbox (badness 10000) detected at line 8563 Overfull \vbox (0.72485pt too high) detected at line 8563 [140] [141] [142] Chapter 4. [143] LaTeX Warning: Hyper reference `yosys_internals/flow/overview:fig-overview-flow ' on page 144 undefined on input line 8666. LaTeX Warning: Reference `yosys_internals/flow/overview:fig-overview-flow' on p age 144 undefined on input line 8666. LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep::doc' on page 144 undefined on input line 8681. LaTeX Warning: Hyper reference `appendix/rtlil_text::doc' on page 144 undefined on input line 8686. LaTeX Warning: Hyper reference `yosys_internals/flow/control_and_data:fig-appro ach-flow' on page 144 undefined on input line 8733. LaTeX Warning: Reference `yosys_internals/flow/control_and_data:fig-approach-fl ow' on page 144 undefined on input line 8733. [144] [145 <./overview_flow.pdf> <./approach_flow.pdf>] LaTeX Warning: Hyper reference `yosys_internals/flow/verilog_frontend:fig-veril og-flow' on page 146 undefined on input line 8771. LaTeX Warning: Reference `yosys_internals/flow/verilog_frontend:fig-verilog-flo w' on page 146 undefined on input line 8771. [146 <./verilog_flow.pdf>] Underfull \hbox (badness 7777) in paragraph at lines 8975--8979 []|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form ] LaTeX Warning: Hyper reference `cmd/opt_clean:cmd-opt_clean' on page 157 undefi ned on input line 10018. LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep:fig-overview- rtlil' on page 157 undefined on input line 10069. [157] [158] [159] LaTeX Warning: Hyper reference `cmd/proc_arst:cmd-proc_arst' on page 160 undefi ned on input line 10348. [160] LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 161 undefined on input line 10393. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 161 undefined o n input line 10394. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd' on page 161 undefined on input line 10431. LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr' on page 161 undefined on input line 10432. LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit' on page 161 undefin ed on input line 10434. [161] LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd' on page 162 undefined on input line 10441. LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr' on page 162 undefined on input line 10441. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd' on page 162 undefined on input line 10445. LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr' on page 162 undefined on input line 10445. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem' on page 162 undefined o n input line 10451. LaTeX Warning: Hyper reference `cell/word_mem:sec-memcells' on page 162 undefin ed on input line 10454. LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep::doc' on page 162 undefined on input line 10501. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 162 undefined on inp ut line 10507. LaTeX Warning: Hyper reference `cmd/memory:cmd-memory' on page 162 undefined on input line 10507. [162] LaTeX Warning: Hyper reference `cmd/dump:cmd-dump' on page 163 undefined on inp ut line 10536. LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 163 undefined on inp ut line 10536. LaTeX Warning: Hyper reference `using_yosys/more_scripting/selections::doc' on page 163 undefined on input line 10537. [163 <./simplified_rtlil.pdf>] [164] LaTeX Warning: Hyper reference `cmd/clean:cmd-clean' on page 165 undefined on i nput line 10702. [165] [166 <./test1.pdf>] [167] Underfull \vbox (badness 10000) detected at line 10971 Overfull \vbox (0.56999pt too high) detected at line 10971 [168] Underfull \vbox (badness 10000) detected at line 10971 Overfull \vbox (0.56999pt too high) detected at line 10971 [169] [170] [171] [172] [173] [174] [175] Underfull \hbox (badness 10000) in paragraph at lines 11742--11751 []\T1/lmr/m/n/10 Be-cause we are us-ing the \T1/lmtt/m/n/10 Backend \T1/lmr/m/n /10 class, our \T1/lmtt/m/n/10 "functional_dummy" \T1/lmr/m/n/10 is reg-is-tere d as the [176] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions::doc ' on page 177 undefined on input line 11755. LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ smt2' on page 177 undefined on input line 11779. LaTeX Warning: Hyper reference `cmd/write_functional_rosette:cmd-write_function al_rosette' on page 177 undefined on input line 11780. LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ smt2' on page 177 undefined on input line 11799. [177] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m inimal-backend' on page 178 undefined on input line 11858. LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ smt2' on page 178 undefined on input line 11898. [178] LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ smt2' on page 179 undefined on input line 11928. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m inimal-backend' on page 179 undefined on input line 11953. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:s ort' on page 179 undefined on input line 11956. LaTeX Warning: Hyper reference `cmd/write_functional_smt2:cmd-write_functional_ smt2' on page 179 undefined on input line 11957. [179] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:s cope' on page 180 undefined on input line 11993. LaTeX Warning: Hyper reference `cmd/write_functional_rosette:cmd-write_function al_rosette' on page 180 undefined on input line 12008. [180] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m inimal-backend' on page 181 undefined on input line 12064. Underfull \vbox (badness 1264) detected at line 12085 [181] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m inimal-backend' on page 182 undefined on input line 12128. Overfull \vbox (2.54712pt too high) detected at line 12152 [182] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m inimal-backend' on page 183 undefined on input line 12194. [183] Underfull \hbox (badness 10000) in paragraph at lines 12200--12200 []\T1/lmr/m/n/10 Listing 4.22: |[]iterating over Func-tion-alIR nodes in Underfull \hbox (badness 10000) in paragraph at lines 12225--12225 []\T1/lmr/m/n/10 Listing 4.24: |[]diff of out-put/next state han-dling [184] LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m inimal-backend' on page 185 undefined on input line 12278. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/functional_ir:m odule' on page 185 undefined on input line 12278. Overfull \vbox (1.06844pt too high) detected at line 12302 [185] LaTeX Warning: Hyper reference `cmd/write_functional_rosette:cmd-write_function al_rosette' on page 186 undefined on input line 12308. [186] LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 187 undefined on input line 12408. LaTeX Warning: Hyper reference `cell/word_binary:binary._or' on page 187 undefi ned on input line 12410. [187] [188 <./red_or3x1.pdf>] [189 <./sym_mul.pdf>] LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 190 undefined on inp ut line 12633. LaTeX Warning: Hyper reference `cmd/freduce:cmd-freduce' on page 190 undefined on input line 12637. LaTeX Warning: Hyper reference `cmd/shell:cmd-shell' on page 190 undefined on i nput line 12653. LaTeX Warning: Hyper reference `cmd/dump:cmd-dump' on page 190 undefined on inp ut line 12653. [190] [191 <./mymul.pdf>] [192 <./mulshift.pdf>] Underfull \hbox (badness 5490) in paragraph at lines 12813--12816 []\T1/lmr/m/n/10 Each bit of the port cor-re-lates to an \T1/lmtt/m/n/10 _TECHM AP_BITS_CONNMAP_ \T1/lmr/m/n/10 bits wide num-ber in Underfull \hbox (badness 10000) in paragraph at lines 12818--12821 []\T1/lmr/m/n/10 Each unique sig-nal bit is as-signed its own num-ber. Iden-ti- cal fields in the [193] [194 <./addshift.pdf>] LaTeX Warning: Hyper reference `yosys_internals/flow/verilog_frontend::doc' on page 195 undefined on input line 12932. [195] LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 196 undefined on input line 13029. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 196 undefined on input line 13035. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 196 undefined on input line 13046. LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 196 undefined on input line 13071. LaTeX Warning: Hyper reference `cmd/keep_hierarchy:cmd-keep_hierarchy' on page 196 undefined on input line 13078. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 196 undefi ned on input line 13090. LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 196 undefined on input line 13091. [196] LaTeX Warning: Hyper reference `cmd/flatten:cmd-flatten' on page 197 undefined on input line 13117. LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 197 undefi ned on input line 13122. LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 197 undefi ned on input line 13128. LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 197 undefi ned on input line 13133. LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 197 undefi ned on input line 13141. LaTeX Warning: Hyper reference `cmd/clkbufmap:cmd-clkbufmap' on page 197 undefi ned on input line 13142. LaTeX Warning: Hyper reference `cmd/iopadmap:cmd-iopadmap' on page 197 undefine d on input line 13155. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 197 undefined on inp ut line 13160. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 197 undefined on inp ut line 13168. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 197 undefined on inp ut line 13174. LaTeX Warning: Hyper reference `cmd/abc9:cmd-abc9' on page 197 undefined on inp ut line 13180. LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 197 undefi ned on input line 13194. [197] [198] LaTeX Warning: Hyper reference `cmd/hierarchy:cmd-hierarchy' on page 199 undefi ned on input line 13324. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 199 undefined on input line 13352. [199] LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 200 undefined on input line 13404. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 200 undefined on input line 13436. [200] [201] [202] [203] [204] Chapter 5. LaTeX Warning: Hyper reference `appendix/primer:fig-basics-abstractions' on pag e 205 undefined on input line 13737. LaTeX Warning: Reference `appendix/primer:fig-basics-abstractions' on page 205 undefined on input line 13737. [205 <./basics_abstractions.pdf>] [206] [207] [208] [209] [210] [211] LaTeX Warning: Hyper reference `appendix/primer:fig-basics-flow' on page 212 un defined on input line 14303. LaTeX Warning: Reference `appendix/primer:fig-basics-flow' on page 212 undefine d on input line 14303. [212 <./basics_flow.pdf>] LaTeX Warning: Hyper reference `appendix/primer:tab-basics-tokens' on page 213 undefined on input line 14372. LaTeX Warning: Reference `appendix/primer:tab-basics-tokens' on page 213 undefi ned on input line 14372. LaTeX Warning: Hyper reference `appendix/primer:fig-basics-parsetree' on page 2 13 undefined on input line 14486. LaTeX Warning: Reference `appendix/primer:fig-basics-parsetree' on page 213 und efined on input line 14486. [213] LaTeX Warning: Hyper reference `appendix/primer:fig-basics-ast' on page 214 und efined on input line 14501. LaTeX Warning: Reference `appendix/primer:fig-basics-ast' on page 214 undefined on input line 14501. LaTeX Warning: Hyper reference `appendix/primer:fig-basics-parsetree' on page 2 14 undefined on input line 14502. LaTeX Warning: Reference `appendix/primer:fig-basics-parsetree' on page 214 und efined on input line 14502. [214 <./basics_parsetree.pdf> <./basics_ast.pdf>] [215] [216] Chapter 6. [217] [218] LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep:sec-rtlil-sig spec' on page 219 undefined on input line 14896. LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep:sec-rtlil-cel l-wire' on page 219 undefined on input line 14923. [219] LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep:sec-rtlil-mem ory' on page 220 undefined on input line 14946. LaTeX Warning: Hyper reference `cell/word_mem:sec-memcells' on page 220 undefin ed on input line 14947. LaTeX Warning: Hyper reference `cell_index::doc' on page 220 undefined on input line 14965. LaTeX Warning: Hyper reference `yosys_internals/formats/rtlil_rep:sec-rtlil-pro cess' on page 220 undefined on input line 14987. [220] [221] [222] Chapter 7. LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 223 undefined on input line 15094. LaTeX Warning: Hyper reference `cmd/sat::doc' on page 223 undefined on input li ne 15095. LaTeX Warning: Hyper reference `cmd/sim:cmd-sim' on page 223 undefined on input line 15103. LaTeX Warning: Hyper reference `cmd/read_json:cmd-read_json' on page 223 undefi ned on input line 15109. LaTeX Warning: Hyper reference `cmd/write_json:cmd-write_json' on page 223 unde fined on input line 15110. LaTeX Warning: Hyper reference `cmd/stat:cmd-stat' on page 223 undefined on inp ut line 15120. LaTeX Warning: Hyper reference `cmd/connect_rpc:cmd-connect_rpc' on page 223 un defined on input line 15121. [223] LaTeX Warning: Hyper reference `cmd/sat:cmd-sat' on page 224 undefined on input line 15129. LaTeX Warning: Hyper reference `cmd/extract::doc' on page 224 undefined on inpu t line 15146. [224] Chapter 8. LaTeX Warning: Hyper reference `yosys_internals/extending_yosys/extensions::doc ' on page 225 undefined on input line 15163. Overfull \vbox (1.34746pt too high) detected at line 15202 [225] [226] Underfull \vbox (badness 10000) detected at line 15437 Overfull \vbox (0.56999pt too high) detected at line 15437 [227] Underfull \vbox (badness 10000) detected at line 15437 Overfull \vbox (0.56999pt too high) detected at line 15437 [228] Underfull \vbox (badness 10000) detected at line 15437 Overfull \vbox (0.56999pt too high) detected at line 15437 [229] [230] Chapter 9. LaTeX Warning: Hyper reference `cell/word_unary:unary._not' on page 231 undefin ed on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._pos' on page 231 undefin ed on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._neg' on page 231 undefin ed on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 231 undefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 231 u ndefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xor' on page 231 undefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xnor' on page 231 undefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 231 undefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._logic_not' on page 231 u ndefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._not' on page 231 undefin ed on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._pos' on page 231 undefin ed on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._neg' on page 231 undefin ed on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 231 undefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 231 u ndefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xor' on page 231 undefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xnor' on page 231 undefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 231 undefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._logic_not' on page 231 u ndefined on input line 15630. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_and' on page 231 undefined on input line 15635. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 231 u ndefined on input line 15635. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xor' on page 231 undefined on input line 15636. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_xnor' on page 231 undefined on input line 15636. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 231 undefined on input line 15636. LaTeX Warning: Hyper reference `cell/word_unary:unary._logic_not' on page 231 u ndefined on input line 15636. [231] LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 232 u ndefined on input line 15641. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 232 undefined on input line 15641. LaTeX Warning: Hyper reference `cmd/read_verilog:cmd-read_verilog' on page 232 undefined on input line 15642. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_or' on page 232 u ndefined on input line 15643. LaTeX Warning: Hyper reference `cell/word_unary:unary._reduce_bool' on page 232 undefined on input line 15644. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 232 undef ined on input line 15671. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 232 undef ined on input line 15714. [232] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 233 undef ined on input line 15765. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 233 undef ined on input line 15819. [233] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 234 undef ined on input line 15870. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 234 undef ined on input line 15921. [234] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 235 undef ined on input line 15973. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 235 undef ined on input line 16024. [235] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 236 undef ined on input line 16075. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 236 undef ined on input line 16126. [236] LaTeX Warning: Hyper reference `cell/word_binary:binary._and' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._pow' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._or' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._xor' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._xnor' on page 237 unde fined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._shr' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 237 unde fined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._sshr' on page 237 unde fined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_and' on page 237 undefined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_or' on page 237 undefined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._mod' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 237 und efined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._divfloor' on page 237 undefined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 237 un defined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._modfloor' on page 237 undefined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._and' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._pow' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._or' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._xor' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._xnor' on page 237 unde fined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._shr' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 237 unde fined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 237 undefi ned on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._sshr' on page 237 unde fined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_and' on page 237 undefined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_or' on page 237 undefined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._mul' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._mod' on page 237 undef ined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 237 und efined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._divfloor' on page 237 undefined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 237 un defined on input line 16453. LaTeX Warning: Hyper reference `cell/word_binary:binary._modfloor' on page 237 undefined on input line 16453. [237] LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 238 undef ined on input line 16458. LaTeX Warning: Hyper reference `cell/word_binary:binary._shr' on page 238 undef ined on input line 16458. LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 238 unde fined on input line 16458. LaTeX Warning: Hyper reference `cell/word_binary:binary._sshr' on page 238 unde fined on input line 16459. LaTeX Warning: Hyper reference `cell/word_binary:binary._shl' on page 238 undef ined on input line 16459. LaTeX Warning: Hyper reference `cell/word_binary:binary._sshl' on page 238 unde fined on input line 16459. LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 238 und efined on input line 16465. LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 238 un defined on input line 16465. LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 238 und efined on input line 16465. LaTeX Warning: Hyper reference `cell/word_binary:binary._shiftx' on page 238 un defined on input line 16467. LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 238 und efined on input line 16468. LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_and' on page 238 undefined on input line 16472. LaTeX Warning: Hyper reference `cell/word_binary:binary._logic_or' on page 238 undefined on input line 16472. LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 238 undef ined on input line 16473. LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 238 undef ined on input line 16473. LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 238 undefi ned on input line 16473. LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 238 undefi ned on input line 16473. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 238 undefi ned on input line 16473. LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 238 undefi ned on input line 16473. LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 238 undefi ned on input line 16473. LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 238 undefi ned on input line 16473. LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 238 undef ined on input line 16479. LaTeX Warning: Hyper reference `cell/word_binary:binary._mod' on page 238 undef ined on input line 16479. LaTeX Warning: Hyper reference `cell/word_binary:binary._divfloor' on page 238 undefined on input line 16480. LaTeX Warning: Hyper reference `cell/word_binary:binary._modfloor' on page 238 undefined on input line 16481. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 238 undef ined on input line 16633. [238] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 239 undef ined on input line 16687. [239] LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 240 undef ined on input line 16740. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 240 undef ined on input line 16745. LaTeX Warning: Hyper reference `cell/properties:x-aware' on page 240 undefined on input line 16749. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 240 undef ined on input line 16804. LaTeX Warning: Hyper reference `cell/properties:x-output' on page 240 undefined on input line 16808. [240] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 241 undef ined on input line 16864. [241] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 242 undef ined on input line 16926. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 242 undef ined on input line 16988. LaTeX Warning: Hyper reference `cell/properties:x-aware' on page 242 undefined on input line 16992. [242] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 243 undef ined on input line 17049. [243] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 244 undef ined on input line 17104. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 244 undef ined on input line 17159. [244] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 245 undef ined on input line 17213. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 245 undef ined on input line 17267. [245] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 246 undef ined on input line 17322. [246] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 247 undef ined on input line 17384. LaTeX Warning: Hyper reference `cell/properties:x-output' on page 247 undefined on input line 17388. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 247 undef ined on input line 17447. [247] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 248 undef ined on input line 17510. [248] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 249 undef ined on input line 17565. LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 249 undef ined on input line 17621. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 249 undef ined on input line 17626. LaTeX Warning: Hyper reference `cell/properties:x-aware' on page 249 undefined on input line 17630. [249] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 250 undef ined on input line 17686. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 250 undef ined on input line 17741. [250] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 251 undef ined on input line 17803. [251] LaTeX Warning: Hyper reference `cell/word_binary:binary._shift' on page 252 und efined on input line 17864. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 252 undef ined on input line 17869. LaTeX Warning: Hyper reference `cell/properties:x-output' on page 252 undefined on input line 17873. [252] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 253 undef ined on input line 17930. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 253 undef ined on input line 17984. [253] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 254 undef ined on input line 18039. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 254 undef ined on input line 18094. [254] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 255 undef ined on input line 18149. Overfull \vbox (2.36986pt too high) detected at line 18186 [255] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 256 undef ined on input line 18203. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 256 undef ined on input line 18257. [256] LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 257 undefined o n input line 18310. LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 257 undefined o n input line 18314. LaTeX Warning: Hyper reference `cell/word_mux:mux._pmux' on page 257 undefined on input line 18320. LaTeX Warning: Hyper reference `cell/word_mux:mux._tribuf' on page 257 undefine d on input line 18332. LaTeX Warning: Hyper reference `cell/word_mux:mux._tribuf' on page 257 undefine d on input line 18336. LaTeX Warning: Hyper reference `cell/word_binary:binary._and' on page 257 undef ined on input line 18347. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 257 undef ined on input line 18375. [257] LaTeX Warning: Hyper reference `cell/word_mux:mux._mux' on page 258 undefined o n input line 18431. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 258 undef ined on input line 18435. [258] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 259 undef ined on input line 18485. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 259 undef ined on input line 18535. [259] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 260 undef ined on input line 18584. LaTeX Warning: Hyper reference `cell/properties:x-output' on page 260 undefined on input line 18588. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 260 undef ined on input line 18656. [260] LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 261 undefined on input line 18694. LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 261 undefined on input line 18716. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 261 undefined o n input line 18720. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 261 undefined o n input line 18722. LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 261 undefined on input line 18736. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 261 undefined o n input line 18737. LaTeX Warning: Hyper reference `cmd/proc:cmd-proc' on page 261 undefined on inp ut line 18753. LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 261 undefined on input line 18757. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 261 undefined o n input line 18758. [261] LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 262 undefined on input line 18774. LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 262 undefined on input line 18774. LaTeX Warning: Hyper reference `cell/word_reg:reg._aldff' on page 262 undefined on input line 18778. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 262 undefined o n input line 18779. LaTeX Warning: Hyper reference `cell/word_reg:reg._dffsr' on page 262 undefined on input line 18792. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 262 undefined o n input line 18793. LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 262 undefined on input line 18795. LaTeX Warning: Hyper reference `cell/word_reg:reg._dffe' on page 262 undefined on input line 18798. LaTeX Warning: Hyper reference `cell/word_reg:reg._adffe' on page 262 undefined on input line 18798. LaTeX Warning: Hyper reference `cell/word_reg:reg._aldffe' on page 262 undefine d on input line 18798. LaTeX Warning: Hyper reference `cell/word_reg:reg._dffsre' on page 262 undefine d on input line 18799. LaTeX Warning: Hyper reference `cell/word_reg:reg._sdffe' on page 262 undefined on input line 18799. LaTeX Warning: Hyper reference `cell/word_reg:reg._sdffce' on page 262 undefine d on input line 18799. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 262 undefined o n input line 18799. LaTeX Warning: Hyper reference `cell/word_reg:reg._adff' on page 262 undefined on input line 18800. LaTeX Warning: Hyper reference `cell/word_reg:reg._aldff' on page 262 undefined on input line 18800. LaTeX Warning: Hyper reference `cell/word_reg:reg._dffsr' on page 262 undefined on input line 18800. LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 262 undefined on input line 18800. LaTeX Warning: Hyper reference `cell/word_reg:reg._sdff' on page 262 undefined on input line 18800. LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 262 undefine d on input line 18813. LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 262 undefine d on input line 18815. LaTeX Warning: Hyper reference `cell/word_reg:reg._adlatch' on page 262 undefin ed on input line 18832. LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 262 undefine d on input line 18833. LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatchsr' on page 262 undefi ned on input line 18848. LaTeX Warning: Hyper reference `cell/word_reg:reg._dlatch' on page 262 undefine d on input line 18849. LaTeX Warning: Hyper reference `cell/word_reg:reg._sr' on page 262 undefined on input line 18850. [262] [263] [264] [265] [266] [267] [268] [269] [270] LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 271 undefi ned on input line 19558. LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 271 undefi ned on input line 19559. LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 271 unde fined on input line 19559. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 271 undefine d on input line 19559. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 271 undefi ned on input line 19564. LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 271 undefi ned on input line 19564. LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 271 unde fined on input line 19568. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 271 undefi ned on input line 19573. [271] LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 272 undefi ned on input line 19645. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 272 undefi ned on input line 19661. LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 272 unde fined on input line 19692. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 272 undefi ned on input line 19724. LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 272 undefi ned on input line 19724. LaTeX Warning: Hyper reference `cmd/memory:cmd-memory' on page 272 undefined on input line 19724. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 272 undefined o n input line 19725. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 272 undefi ned on input line 19725. LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 272 undefi ned on input line 19725. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 272 undefine d on input line 19726. LaTeX Warning: Hyper reference `cell/word_reg:reg._dff' on page 272 undefined o n input line 19727. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 272 undefine d on input line 19729. [272] LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 273 undefine d on input line 19732. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 273 undefine d on input line 19736. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 273 undefi ned on input line 19780. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 273 undefi ned on input line 19785. [273] LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 274 undefi ned on input line 19829. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine d on input line 19834. LaTeX Warning: Hyper reference `cmd/memory_collect:cmd-memory_collect' on page 274 undefined on input line 19889. LaTeX Warning: Hyper reference `cell/word_mem:mem._memrd_v2' on page 274 undefi ned on input line 19889. LaTeX Warning: Hyper reference `cell/word_mem:mem._memwr_v2' on page 274 undefi ned on input line 19890. LaTeX Warning: Hyper reference `cell/word_mem:mem._meminit_v2' on page 274 unde fined on input line 19890. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine d on input line 19891. LaTeX Warning: Hyper reference `cmd/memory_unpack:cmd-memory_unpack' on page 27 4 undefined on input line 19891. LaTeX Warning: Hyper reference `cmd/memory_dff:cmd-memory_dff' on page 274 unde fined on input line 19892. LaTeX Warning: Hyper reference `cmd/memory_bram:cmd-memory_bram' on page 274 un defined on input line 19893. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine d on input line 19894. LaTeX Warning: Hyper reference `cmd/memory_map:cmd-memory_map' on page 274 unde fined on input line 19895. LaTeX Warning: Hyper reference `cell/word_mem:mem._mem_v2' on page 274 undefine d on input line 19895. [274] Underfull \vbox (badness 10000) detected at line 20013 Overfull \vbox (2.76991pt too high) detected at line 20013 [275] [276] Underfull \vbox (badness 10000) detected at line 20152 Overfull \vbox (2.76991pt too high) detected at line 20152 [277] Underfull \vbox (badness 10000) detected at line 20152 Overfull \vbox (0.56999pt too high) detected at line 20152 [278] [279] [280] [281] [282] LaTeX Warning: Hyper reference `cell/word_fsm:fsm._fsm' on page 283 undefined o n input line 20445. [283] Underfull \vbox (badness 10000) detected at line 20567 Overfull \vbox (0.56999pt too high) detected at line 20567 [284] LaTeX Warning: Hyper reference `cell/word_arith:arith._alu' on page 285 undefin ed on input line 20579. LaTeX Warning: Hyper reference `cell/word_arith:arith._fa' on page 285 undefine d on input line 20579. LaTeX Warning: Hyper reference `cell/word_arith:arith._lcu' on page 285 undefin ed on input line 20579. LaTeX Warning: Hyper reference `cell/word_arith:arith._macc' on page 285 undefi ned on input line 20583. LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 285 undefined on input line 20651. LaTeX Warning: Hyper reference `cell/word_binary:binary._add' on page 285 undef ined on input line 20652. LaTeX Warning: Hyper reference `cell/word_binary:binary._sub' on page 285 undef ined on input line 20652. LaTeX Warning: Hyper reference `cell/word_binary:binary._lt' on page 285 undefi ned on input line 20652. LaTeX Warning: Hyper reference `cell/word_binary:binary._le' on page 285 undefi ned on input line 20652. LaTeX Warning: Hyper reference `cell/word_binary:binary._ge' on page 285 undefi ned on input line 20652. LaTeX Warning: Hyper reference `cell/word_binary:binary._gt' on page 285 undefi ned on input line 20652. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 285 undefi ned on input line 20652. LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 285 undef ined on input line 20652. LaTeX Warning: Hyper reference `cell/word_binary:binary._ne' on page 285 undefi ned on input line 20652. LaTeX Warning: Hyper reference `cell/word_binary:binary._nex' on page 285 undef ined on input line 20652. LaTeX Warning: Hyper reference `cell/word_arith:arith._alu' on page 285 undefin ed on input line 20653. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 285 undef ined on input line 20657. [285] [286] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 287 undef ined on input line 20737. LaTeX Warning: Hyper reference `cmd/techmap:cmd-techmap' on page 287 undefined on input line 20784. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 287 undef ined on input line 20789. [287] LaTeX Warning: Hyper reference `cmd/alumacc:cmd-alumacc' on page 288 undefined on input line 20845. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 288 undef ined on input line 20850. [288] Underfull \vbox (badness 10000) detected at line 21002 Overfull \vbox (0.56999pt too high) detected at line 21002 [289] Underfull \vbox (badness 10000) detected at line 21002 Overfull \vbox (0.56999pt too high) detected at line 21002 [290] LaTeX Warning: Hyper reference `cell/word_logic:logic._lut' on page 291 undefin ed on input line 21013. LaTeX Warning: Hyper reference `cell/word_logic:logic._lut' on page 291 undefin ed on input line 21017. LaTeX Warning: Hyper reference `cell/word_logic:logic._sop' on page 291 undefin ed on input line 21021. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 291 undef ined on input line 21076. [291] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 292 undef ined on input line 21117. LaTeX Warning: Hyper reference `cell/word_spec:spec._specify2' on page 292 unde fined on input line 21170. LaTeX Warning: Hyper reference `cell/word_spec:spec._specify3' on page 292 unde fined on input line 21170. LaTeX Warning: Hyper reference `cell/word_spec:spec._specrule' on page 292 unde fined on input line 21170. [292] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 293 undef ined on input line 21192. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 293 undef ined on input line 21257. [293] Underfull \vbox (badness 10000) detected at line 21378 [294] Underfull \vbox (badness 10000) detected at line 21378 Overfull \vbox (0.56999pt too high) detected at line 21378 [295] Underfull \vbox (badness 10000) detected at line 21378 Overfull \vbox (0.56999pt too high) detected at line 21378 [296] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 297 undef ined on input line 21392. LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 297 undef ined on input line 21446. LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 297 undef ined on input line 21449. LaTeX Warning: Hyper reference `cmd/chformal:cmd-chformal' on page 297 undefine d on input line 21450. [297] LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 298 undef ined on input line 21458. LaTeX Warning: Hyper reference `cell/word_formal:formal._assert' on page 298 un defined on input line 21458. LaTeX Warning: Hyper reference `cell/word_formal:formal._assume' on page 298 un defined on input line 21458. LaTeX Warning: Hyper reference `cell/word_formal:formal._live' on page 298 unde fined on input line 21458. LaTeX Warning: Hyper reference `cell/word_formal:formal._fair' on page 298 unde fined on input line 21458. LaTeX Warning: Hyper reference `cell/word_formal:formal._cover' on page 298 und efined on input line 21458. LaTeX Warning: Hyper reference `cell/word_formal:formal._equiv' on page 298 und efined on input line 21458. LaTeX Warning: Hyper reference `cell/word_formal:formal._initstate' on page 298 undefined on input line 21459. LaTeX Warning: Hyper reference `cell/word_formal:formal._anyconst' on page 298 undefined on input line 21459. LaTeX Warning: Hyper reference `cell/word_formal:formal._anyseq' on page 298 un defined on input line 21459. LaTeX Warning: Hyper reference `cell/word_formal:formal._anyinit' on page 298 u ndefined on input line 21459. LaTeX Warning: Hyper reference `cell/word_formal:formal._allconst' on page 298 undefined on input line 21459. LaTeX Warning: Hyper reference `cell/word_formal:formal._allseq' on page 298 un defined on input line 21459. LaTeX Warning: Hyper reference `cell/word_formal:formal._ff' on page 298 undefi ned on input line 21462. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__FF_' on page 298 unde fined on input line 21462. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 298 undef ined on input line 21484. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 298 undef ined on input line 21523. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 298 undef ined on input line 21562. [298] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 299 undef ined on input line 21639. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 299 undef ined on input line 21678. [299] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 300 undef ined on input line 21722. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 300 undef ined on input line 21766. [300] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 301 undef ined on input line 21801. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 301 undef ined on input line 21848. [301] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 302 undef ined on input line 21919. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 302 undef ined on input line 21963. [302] [303] LaTeX Warning: Hyper reference `cell/word_debug:debug._print' on page 304 undef ined on input line 22183. [304] LaTeX Warning: Hyper reference `cell/word_debug:debug._print' on page 305 undef ined on input line 22216. LaTeX Warning: Hyper reference `cell/word_debug:debug._check' on page 305 undef ined on input line 22216. LaTeX Warning: Hyper reference `cell/word_debug:debug._print' on page 305 undef ined on input line 22226. [305] [306] LaTeX Warning: Hyper reference `cell/word_wire:wire._slice' on page 307 undefin ed on input line 22521. LaTeX Warning: Hyper reference `cell/word_wire:wire._concat' on page 307 undefi ned on input line 22521. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 307 undef ined on input line 22546. [307] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 308 undef ined on input line 22588. [308] LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__BUF_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOT_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__AND_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NAND_' on p age 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__OR_' on pag e 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOR_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__XOR_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__XNOR_' on p age 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__MUX_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__BUF_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOT_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__AND_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NAND_' on p age 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__OR_' on pag e 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__NOR_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__XOR_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__XNOR_' on p age 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/gate_comb_simple:comb_simple.__MUX_' on pa ge 309 undefined on input line 22746. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 309 undef ined on input line 22781. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 309 undef ined on input line 22826. [309] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 310 undef ined on input line 22871. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 310 undef ined on input line 22918. [310] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 311 undef ined on input line 22965. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 311 undef ined on input line 23010. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 311 undef ined on input line 23057. [311] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 312 undef ined on input line 23104. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 312 undef ined on input line 23151. [312] LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__ANDNOT_ ' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__ORNOT_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__AOI3_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__OAI3_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__AOI4_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__OAI4_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__NMUX_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX4_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX8_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX16_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__ANDNOT_ ' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__ORNOT_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__AOI3_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__OAI3_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__AOI4_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__OAI4_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__NMUX_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX4_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX8_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX16_' on page 313 undefined on input line 23288. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX4_' on page 313 undefined on input line 23293. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX8_' on page 313 undefined on input line 23293. LaTeX Warning: Hyper reference `cell/gate_comb_combined:comb_combined.__MUX16_' on page 313 undefined on input line 23293. [313] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 314 undef ined on input line 23347. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 314 undef ined on input line 23398. [314] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 315 undef ined on input line 23457. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 315 undef ined on input line 23516. [315] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 316 undef ined on input line 23570. [316] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 317 undef ined on input line 23622. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 317 undef ined on input line 23672. [317] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 318 undef ined on input line 23723. LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 318 undef ined on input line 23782. [318] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 319 undef ined on input line 23829. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 319 u ndefined on input line 23861. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 319 u ndefined on input line 23861. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 319 u ndefined on input line 23900. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 319 u ndefined on input line 23900. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 319 u ndefined on input line 23900. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 319 u ndefined on input line 23900. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN_' on page 319 undefined on input line 23985. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP_' on page 319 undefined on input line 23985. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN_' on page 319 undefined on input line 23985. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP_' on page 319 undefined on input line 23985. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN_' on page 319 undefined on input line 23985. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP_' on page 319 undefined on input line 23985. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN_' on page 319 undefined on input line 23985. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP_' on page 319 undefined on input line 23985. [319] LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN0_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NN0_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN1_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NN1_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP0_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NP0_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP1_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NP1_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN0_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PN0_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN1_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PN1_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP0_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PP0_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP1_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PP1_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN0_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NN0_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NN1_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NN1_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP0_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NP0_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_NP1_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_NP1_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN0_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PN0_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PN1_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PN1_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP0_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PP0_' on page 32 0 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFF_PP1_' on page 320 undefined on input line 24161. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFF_PP1_' on page 32 0 undefined on input line 24161. [320] LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1N_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1N_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1P_' on page 3 21 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1P_' on page 321 undefined on input line 24522. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNN_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNP_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPN_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPP_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNN_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNP_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPN_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPP_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNN_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNP_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPN_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPP_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNN_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNP_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPN_' on page 3 21 undefined on input line 24688. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPP_' on page 3 21 undefined on input line 24688. [321] LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNP_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPN_' on page 322 undefined on input line 25025. LaTeX Warning: Hyper reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPP_' on page 322 undefined on input line 25025. [322] [323] [324] [325] [326] [327] [328] [329] [330] [331] [332] [333] [334] [335] [336] [337] [338] [339] [340] [341] [342] [343] [344] [345] [346] [347] [348] [349] [350] [351] [352] [353] [354] [355] [356] [357] [358] [359] [360] [361] [362] [363] [364] [365] [366] [367] [368] [369] [370] [371] [372] LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_N_' on p age 373 undefined on input line 30015. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_P_' on p age 373 undefined on input line 30015. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_N_' on p age 373 undefined on input line 30054. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_P_' on p age 373 undefined on input line 30054. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_N_' on p age 373 undefined on input line 30054. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_P_' on p age 373 undefined on input line 30054. [373] LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN0_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN1_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP0_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP1_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN0_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN1_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP0_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP1_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN0_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN1_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP0_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP1_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN0_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN1_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP0_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP1_' on page 374 undefined on input line 30200. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNN_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNP_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPN_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPP_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNN_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNP_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPN_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPP_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNN_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNP_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPN_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPP_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNN_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNP_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPN_' on page 374 undefined on input line 30348. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPP_' on page 374 undefined on input line 30348. [374] LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_NN_' on page 375 undefined on input line 30426. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_NP_' on page 375 undefined on input line 30426. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_PN_' on page 375 undefined on input line 30426. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_PP_' on page 375 undefined on input line 30426. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_NN_' on page 375 undefined on input line 30426. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_NP_' on page 375 undefined on input line 30426. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_PN_' on page 375 undefined on input line 30426. LaTeX Warning: Hyper reference `cell/gate_reg_latch:reg_latch.__SR_PP_' on page 375 undefined on input line 30426. [375] [376] [377] [378] [379] [380] [381] [382] [383] [384] LaTeX Warning: Hyper reference `cell/properties:is_evaluable' on page 385 undef ined on input line 31487. [385] LaTeX Warning: Hyper reference `cmd/eval:cmd-eval' on page 386 undefined on inp ut line 31529. LaTeX Warning: Hyper reference `cmd/opt_expr:cmd-opt_expr' on page 386 undefine d on input line 31530. LaTeX Warning: Hyper reference `cell/word_binary:binary._eqx' on page 386 undef ined on input line 31545. LaTeX Warning: Hyper reference `cell/word_binary:binary._eq' on page 386 undefi ned on input line 31545. LaTeX Warning: Hyper reference `cell/word_binary:binary._div' on page 386 undef ined on input line 31559. [386] Chapter 10. [387] LaTeX Warning: Hyper reference `cmd/show:cmd-show' on page 388 undefined on inp ut line 31636. LaTeX Warning: Hyper reference `cmd/viz:cmd-viz' on page 388 undefined on input line 31636. [388] [389] Underfull \vbox (badness 10000) detected at line 31873 Overfull \vbox (0.56999pt too high) detected at line 31873 [390] Underfull \vbox (badness 10000) detected at line 31873 Overfull \vbox (0.56999pt too high) detected at line 31873 [391] Overfull \vbox (2.84741pt too high) detected at line 32031 [392] Underfull \vbox (badness 10000) detected at line 32031 Overfull \vbox (0.56999pt too high) detected at line 32031 [393] Underfull \vbox (badness 10000) detected at line 32031 Overfull \vbox (0.56999pt too high) detected at line 32031 [394] [395] Underfull \vbox (badness 10000) detected at line 32137 Underfull \vbox (badness 10000) detected at line 32137 [396] Overfull \vbox (2.84741pt too high) detected at line 32235 [397] Underfull \vbox (badness 10000) detected at line 32235 Overfull \vbox (0.56999pt too high) detected at line 32235 [398] [399] [400] Overfull \vbox (2.84741pt too high) detected at line 32419 [401] [402] [403] Overfull \vbox (2.84741pt too high) detected at line 32660 [404] [405] [406] [407] [408] [409] Overfull \vbox (1.94772pt too high) detected at line 33104 [410] Overfull \vbox (2.84741pt too high) detected at line 33166 [411] [412] [413] [414] Overfull \vbox (1.94772pt too high) detected at line 33499 [415] [416] [417] [418] [419] [420] Overfull \vbox (1.94772pt too high) detected at line 33976 [421] Overfull \vbox (2.84741pt too high) detected at line 34019 [422] [423] [424] [425] [426] [427] [428] [429] [430] Overfull \vbox (1.94772pt too high) detected at line 34725 [431] Overfull \vbox (2.84741pt too high) detected at line 34825 [432] [433] [434] [435] Overfull \vbox (2.84741pt too high) detected at line 35063 [436] [437] Overfull \vbox (1.94772pt too high) detected at line 35210 [438] [439] Overfull \vbox (1.94772pt too high) detected at line 35343 [440] [441] [442] [443] [444] Underfull \vbox (badness 10000) detected at line 35725 Overfull \vbox (0.56999pt too high) detected at line 35725 [445] [446] Underfull \vbox (badness 10000) detected at line 35872 Overfull \vbox (0.56999pt too high) detected at line 35872 [447] [448] [449] [450] [451] [452] Overfull \vbox (1.94772pt too high) detected at line 36368 [453] Overfull \vbox (2.84741pt too high) detected at line 36425 [454] [455] [456] Underfull \vbox (badness 10000) detected at line 36690 Overfull \vbox (0.56999pt too high) detected at line 36690 [457] [458] [459] [460] [461] [462] Overfull \vbox (2.84741pt too high) detected at line 37159 [463] Overfull \vbox (2.84741pt too high) detected at line 37204 [464] [465] [466] [467] Overfull \vbox (2.84741pt too high) detected at line 37498 [468] [469] [470] [471] [472] [473] [474] Overfull \vbox (1.94772pt too high) detected at line 38100 [475] [476] [477] [478] [479] Underfull \vbox (badness 10000) detected at line 38498 Overfull \vbox (0.56999pt too high) detected at line 38498 [480] [481] [482] Overfull \vbox (2.84741pt too high) detected at line 38730 [483] [484] [485] Underfull \vbox (badness 10000) detected at line 39051 Overfull \vbox (0.56999pt too high) detected at line 39051 [486] Underfull \vbox (badness 10000) detected at line 39051 Overfull \vbox (0.56999pt too high) detected at line 39051 [487] Underfull \vbox (badness 10000) detected at line 39051 Overfull \vbox (0.56999pt too high) detected at line 39051 [488] [489] [490] Overfull \vbox (1.94772pt too high) detected at line 39384 [491] Underfull \vbox (badness 10000) detected at line 39384 Overfull \vbox (0.56999pt too high) detected at line 39384 [492] Underfull \vbox (badness 10000) detected at line 39384 Overfull \vbox (0.56999pt too high) detected at line 39384 [493] [494] Overfull \vbox (2.84741pt too high) detected at line 39510 [495] [496] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [497] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [498] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [499] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [500] [501] [502] [503] [504] Overfull \vbox (2.84741pt too high) detected at line 40181 [505] Overfull \vbox (2.84741pt too high) detected at line 40310 [506] Underfull \vbox (badness 10000) detected at line 40310 Overfull \vbox (0.56999pt too high) detected at line 40310 [507] Underfull \vbox (badness 10000) detected at line 40310 Overfull \vbox (0.56999pt too high) detected at line 40310 [508] [509] [510] [511] [512] Underfull \vbox (badness 10000) detected at line 40708 Overfull \vbox (0.56999pt too high) detected at line 40708 [513] Overfull \vbox (2.84741pt too high) detected at line 40793 [514] Underfull \vbox (badness 10000) detected at line 40793 Overfull \vbox (0.56999pt too high) detected at line 40793 [515] Overfull \vbox (2.84741pt too high) detected at line 40902 [516] [517] [518] Overfull \vbox (2.84741pt too high) detected at line 41082 [519] [520] [521] Underfull \vbox (badness 10000) detected at line 41260 Overfull \vbox (0.56999pt too high) detected at line 41260 [522] Underfull \vbox (badness 10000) detected at line 41260 Overfull \vbox (0.56999pt too high) detected at line 41260 [523] Overfull \vbox (2.84741pt too high) detected at line 41368 [524] Underfull \vbox (badness 10000) detected at line 41368 Overfull \vbox (0.56999pt too high) detected at line 41368 [525] Overfull \vbox (2.84741pt too high) detected at line 41546 [526] Underfull \vbox (badness 10000) detected at line 41546 Overfull \vbox (0.56999pt too high) detected at line 41546 [527] Underfull \vbox (badness 10000) detected at line 41546 Overfull \vbox (0.56999pt too high) detected at line 41546 [528] Overfull \vbox (2.84741pt too high) detected at line 41709 [529] Underfull \vbox (badness 10000) detected at line 41709 Overfull \vbox (0.56999pt too high) detected at line 41709 [530] Underfull \vbox (badness 10000) detected at line 41709 Overfull \vbox (0.56999pt too high) detected at line 41709 [531] Overfull \vbox (2.84741pt too high) detected at line 41846 [532] Underfull \vbox (badness 10000) detected at line 41846 Overfull \vbox (0.56999pt too high) detected at line 41846 [533] Overfull \vbox (2.84741pt too high) detected at line 41946 [534] Underfull \vbox (badness 10000) detected at line 41946 Overfull \vbox (0.56999pt too high) detected at line 41946 [535] Overfull \vbox (2.84741pt too high) detected at line 42142 [536] Underfull \vbox (badness 10000) detected at line 42142 Overfull \vbox (0.56999pt too high) detected at line 42142 [537] Underfull \vbox (badness 10000) detected at line 42142 Overfull \vbox (0.56999pt too high) detected at line 42142 [538] Underfull \vbox (badness 10000) detected at line 42142 Overfull \vbox (0.56999pt too high) detected at line 42142 [539] Overfull \vbox (2.84741pt too high) detected at line 42286 [540] Underfull \vbox (badness 10000) detected at line 42286 Overfull \vbox (0.56999pt too high) detected at line 42286 [541] [542] Underfull \vbox (badness 10000) detected at line 42415 Overfull \vbox (0.56999pt too high) detected at line 42415 [543] Underfull \vbox (badness 10000) detected at line 42415 Overfull \vbox (0.56999pt too high) detected at line 42415 [544] Overfull \vbox (2.84741pt too high) detected at line 42597 [545] Underfull \vbox (badness 10000) detected at line 42597 Overfull \vbox (0.56999pt too high) detected at line 42597 [546] Underfull \vbox (badness 10000) detected at line 42597 Overfull \vbox (0.56999pt too high) detected at line 42597 [547] Overfull \vbox (2.84741pt too high) detected at line 42772 [548] Underfull \vbox (badness 10000) detected at line 42772 Overfull \vbox (0.56999pt too high) detected at line 42772 [549] Underfull \vbox (badness 10000) detected at line 42772 Overfull \vbox (0.56999pt too high) detected at line 42772 [550] Overfull \vbox (2.84741pt too high) detected at line 42922 [551] Underfull \vbox (badness 10000) detected at line 42922 Overfull \vbox (0.56999pt too high) detected at line 42922 [552] Underfull \vbox (badness 10000) detected at line 42922 Overfull \vbox (0.56999pt too high) detected at line 42922 [553] Overfull \vbox (2.84741pt too high) detected at line 43081 [554] Underfull \vbox (badness 10000) detected at line 43081 Overfull \vbox (0.56999pt too high) detected at line 43081 [555] Underfull \vbox (badness 10000) detected at line 43081 Overfull \vbox (0.56999pt too high) detected at line 43081 [556] Overfull \vbox (2.84741pt too high) detected at line 43249 [557] Underfull \vbox (badness 10000) detected at line 43249 Overfull \vbox (0.56999pt too high) detected at line 43249 [558] Underfull \vbox (badness 10000) detected at line 43249 Overfull \vbox (0.56999pt too high) detected at line 43249 [559] Overfull \vbox (2.84741pt too high) detected at line 43364 [560] Underfull \vbox (badness 10000) detected at line 43364 Overfull \vbox (0.56999pt too high) detected at line 43364 [561] Overfull \vbox (2.84741pt too high) detected at line 43579 [562] Underfull \vbox (badness 10000) detected at line 43579 Overfull \vbox (0.56999pt too high) detected at line 43579 [563] Underfull \vbox (badness 10000) detected at line 43579 Overfull \vbox (0.56999pt too high) detected at line 43579 [564] Underfull \vbox (badness 10000) detected at line 43579 Overfull \vbox (0.56999pt too high) detected at line 43579 [565] [566] Overfull \vbox (1.94772pt too high) detected at line 43838 [567] Underfull \vbox (badness 10000) detected at line 43838 Overfull \vbox (0.56999pt too high) detected at line 43838 [568] Underfull \vbox (badness 10000) detected at line 43838 Overfull \vbox (0.56999pt too high) detected at line 43838 [569] Overfull \vbox (2.84741pt too high) detected at line 43875 [570] [571] Overfull \vbox (2.84741pt too high) detected at line 44027 [572] [573] [574] Overfull \vbox (1.94772pt too high) detected at line 44323 [575] Underfull \vbox (badness 10000) detected at line 44323 Overfull \vbox (0.56999pt too high) detected at line 44323 [576] [577] Overfull \vbox (1.94772pt too high) detected at line 44472 [578] [579] [580] Overfull \vbox (1.94772pt too high) detected at line 44665 [581] Overfull \vbox (2.84741pt too high) detected at line 44747 [582] Overfull \vbox (2.84741pt too high) detected at line 44786 [583] Overfull \vbox (2.84741pt too high) detected at line 45041 [584] Underfull \vbox (badness 10000) detected at line 45041 Overfull \vbox (0.56999pt too high) detected at line 45041 [585] Underfull \vbox (badness 10000) detected at line 45041 Overfull \vbox (0.56999pt too high) detected at line 45041 [586] Underfull \vbox (badness 10000) detected at line 45041 Overfull \vbox (0.56999pt too high) detected at line 45041 [587] [588] [589] [590] [591] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [592] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [593] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [594] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [595] [596] Overfull \vbox (1.94772pt too high) detected at line 45781 [597] Underfull \vbox (badness 10000) detected at line 45781 Overfull \vbox (0.56999pt too high) detected at line 45781 [598] Underfull \vbox (badness 10000) detected at line 45781 Overfull \vbox (0.56999pt too high) detected at line 45781 [599] [600] [601] Underfull \vbox (badness 10000) detected at line 45992 Overfull \vbox (0.56999pt too high) detected at line 45992 [602] [603] [604] Overfull \vbox (2.84741pt too high) detected at line 46184 [605] Overfull \vbox (2.84741pt too high) detected at line 46248 [606] [607] [608] Underfull \hbox (badness 5652) in paragraph at lines 46282--46284 \T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. Underfull \hbox (badness 7685) in paragraph at lines 46285--46287 []\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo r ver-ilog hard-ware de- Underfull \hbox (badness 5022) in paragraph at lines 46285--46287 \T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. [609] [610] LaTeX Warning: Reference `cell/properties:is_evaluable' on page 611 undefined o n input line 46330. LaTeX Warning: Reference `cell/word_arith:arith._alu' on page 611 undefined on input line 46331. LaTeX Warning: Reference `cell/word_arith:arith._fa' on page 611 undefined on i nput line 46332. LaTeX Warning: Reference `cell/word_arith:arith._lcu' on page 611 undefined on input line 46333. LaTeX Warning: Reference `cell/word_arith:arith._macc' on page 611 undefined on input line 46334. LaTeX Warning: Reference `cell/word_binary:binary._add' on page 611 undefined o n input line 46335. LaTeX Warning: Reference `cell/word_binary:binary._and' on page 611 undefined o n input line 46336. LaTeX Warning: Reference `cell/word_binary:binary._bweqx' on page 611 undefined on input line 46337. LaTeX Warning: Reference `cell/word_binary:binary._div' on page 611 undefined o n input line 46338. LaTeX Warning: Reference `cell/word_binary:binary._divfloor' on page 611 undefi ned on input line 46339. LaTeX Warning: Reference `cell/word_binary:binary._eq' on page 611 undefined on input line 46340. LaTeX Warning: Reference `cell/word_binary:binary._eqx' on page 611 undefined o n input line 46341. LaTeX Warning: Reference `cell/word_binary:binary._ge' on page 611 undefined on input line 46342. LaTeX Warning: Reference `cell/word_binary:binary._gt' on page 611 undefined on input line 46343. LaTeX Warning: Reference `cell/word_binary:binary._le' on page 611 undefined on input line 46344. LaTeX Warning: Reference `cell/word_binary:binary._logic_and' on page 611 undef ined on input line 46345. LaTeX Warning: Reference `cell/word_binary:binary._logic_or' on page 611 undefi ned on input line 46346. LaTeX Warning: Reference `cell/word_binary:binary._lt' on page 611 undefined on input line 46347. LaTeX Warning: Reference `cell/word_binary:binary._mod' on page 611 undefined o n input line 46348. LaTeX Warning: Reference `cell/word_binary:binary._modfloor' on page 611 undefi ned on input line 46349. LaTeX Warning: Reference `cell/word_binary:binary._mul' on page 611 undefined o n input line 46350. LaTeX Warning: Reference `cell/word_binary:binary._ne' on page 611 undefined on input line 46351. LaTeX Warning: Reference `cell/word_binary:binary._nex' on page 611 undefined o n input line 46352. LaTeX Warning: Reference `cell/word_binary:binary._or' on page 611 undefined on input line 46353. LaTeX Warning: Reference `cell/word_binary:binary._pow' on page 611 undefined o n input line 46354. LaTeX Warning: Reference `cell/word_binary:binary._shift' on page 611 undefined on input line 46355. LaTeX Warning: Reference `cell/word_binary:binary._shiftx' on page 611 undefine d on input line 46356. LaTeX Warning: Reference `cell/word_binary:binary._shl' on page 611 undefined o n input line 46357. LaTeX Warning: Reference `cell/word_binary:binary._shr' on page 611 undefined o n input line 46358. LaTeX Warning: Reference `cell/word_binary:binary._sshl' on page 611 undefined on input line 46359. LaTeX Warning: Reference `cell/word_binary:binary._sshr' on page 611 undefined on input line 46360. LaTeX Warning: Reference `cell/word_binary:binary._sub' on page 611 undefined o n input line 46361. LaTeX Warning: Reference `cell/word_binary:binary._xnor' on page 611 undefined on input line 46362. LaTeX Warning: Reference `cell/word_binary:binary._xor' on page 611 undefined o n input line 46363. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ANDNOT_' on p age 611 undefined on input line 46364. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI3_' on pag e 611 undefined on input line 46365. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI4_' on pag e 611 undefined on input line 46366. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX16_' on pa ge 611 undefined on input line 46367. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX4_' on pag e 611 undefined on input line 46368. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX8_' on pag e 611 undefined on input line 46369. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__NMUX_' on pag e 611 undefined on input line 46370. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI3_' on pag e 611 undefined on input line 46371. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI4_' on pag e 611 undefined on input line 46372. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ORNOT_' on pa ge 611 undefined on input line 46373. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__AND_' on page 611 undefined on input line 46374. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__BUF_' on page 611 undefined on input line 46375. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__MUX_' on page 611 undefined on input line 46376. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NAND_' on page 61 1 undefined on input line 46377. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOR_' on page 611 undefined on input line 46378. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOT_' on page 611 undefined on input line 46379. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__OR_' on page 611 undefined on input line 46380. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XNOR_' on page 61 1 undefined on input line 46381. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XOR_' on page 611 undefined on input line 46382. LaTeX Warning: Reference `cell/word_formal:formal._allconst' on page 611 undefi ned on input line 46383. LaTeX Warning: Reference `cell/word_formal:formal._allseq' on page 611 undefine d on input line 46384. LaTeX Warning: Reference `cell/word_formal:formal._anyconst' on page 611 undefi ned on input line 46385. LaTeX Warning: Reference `cell/word_formal:formal._anyseq' on page 611 undefine d on input line 46386. LaTeX Warning: Reference `cell/word_formal:formal._assert' on page 611 undefine d on input line 46387. LaTeX Warning: Reference `cell/word_formal:formal._assume' on page 611 undefine d on input line 46388. LaTeX Warning: Reference `cell/word_formal:formal._cover' on page 611 undefined on input line 46389. LaTeX Warning: Reference `cell/word_formal:formal._equiv' on page 611 undefined on input line 46390. LaTeX Warning: Reference `cell/word_formal:formal._fair' on page 611 undefined on input line 46391. LaTeX Warning: Reference `cell/word_formal:formal._initstate' on page 611 undef ined on input line 46392. LaTeX Warning: Reference `cell/word_formal:formal._live' on page 611 undefined on input line 46393. LaTeX Warning: Reference `cell/gate_other:gate_other.__TBUF_' on page 611 undef ined on input line 46394. LaTeX Warning: Reference `cell/word_logic:logic._lut' on page 611 undefined on input line 46395. LaTeX Warning: Reference `cell/word_logic:logic._sop' on page 611 undefined on input line 46396. LaTeX Warning: Reference `cell/word_mux:mux._bmux' on page 611 undefined on inp ut line 46397. LaTeX Warning: Reference `cell/word_mux:mux._bwmux' on page 611 undefined on in put line 46398. LaTeX Warning: Reference `cell/word_mux:mux._demux' on page 611 undefined on in put line 46399. LaTeX Warning: Reference `cell/word_mux:mux._mux' on page 611 undefined on inpu t line 46400. LaTeX Warning: Reference `cell/word_mux:mux._pmux' on page 611 undefined on inp ut line 46401. LaTeX Warning: Reference `cell/word_mux:mux._tribuf' on page 611 undefined on i nput line 46402. LaTeX Warning: Reference `cell/word_spec:spec._specify2' on page 611 undefined on input line 46403. LaTeX Warning: Reference `cell/word_spec:spec._specify3' on page 611 undefined on input line 46404. LaTeX Warning: Reference `cell/word_spec:spec._specrule' on page 611 undefined on input line 46405. LaTeX Warning: Reference `cell/word_unary:unary._buf' on page 611 undefined on input line 46406. LaTeX Warning: Reference `cell/word_unary:unary._logic_not' on page 611 undefin ed on input line 46407. LaTeX Warning: Reference `cell/word_unary:unary._neg' on page 611 undefined on input line 46408. LaTeX Warning: Reference `cell/word_unary:unary._not' on page 611 undefined on input line 46409. LaTeX Warning: Reference `cell/word_unary:unary._pos' on page 611 undefined on input line 46410. LaTeX Warning: Reference `cell/word_unary:unary._reduce_and' on page 611 undefi ned on input line 46411. LaTeX Warning: Reference `cell/word_unary:unary._reduce_bool' on page 611 undef ined on input line 46412. LaTeX Warning: Reference `cell/word_unary:unary._reduce_or' on page 611 undefin ed on input line 46413. [611] LaTeX Warning: Reference `cell/word_unary:unary._reduce_xnor' on page 612 undef ined on input line 46414. LaTeX Warning: Reference `cell/word_unary:unary._reduce_xor' on page 612 undefi ned on input line 46415. LaTeX Warning: Reference `cell/word_wire:wire._concat' on page 612 undefined on input line 46416. LaTeX Warning: Reference `cell/word_wire:wire._slice' on page 612 undefined on input line 46417. LaTeX Warning: Reference `cell/properties:x-aware' on page 612 undefined on inp ut line 46420. LaTeX Warning: Reference `cell/word_binary:binary._bweqx' on page 612 undefined on input line 46421. LaTeX Warning: Reference `cell/word_binary:binary._eqx' on page 612 undefined o n input line 46422. LaTeX Warning: Reference `cell/word_binary:binary._nex' on page 612 undefined o n input line 46423. LaTeX Warning: Reference `cell/properties:x-output' on page 612 undefined on in put line 46424. LaTeX Warning: Reference `cell/word_binary:binary._div' on page 612 undefined o n input line 46425. LaTeX Warning: Reference `cell/word_binary:binary._mod' on page 612 undefined o n input line 46426. LaTeX Warning: Reference `cell/word_binary:binary._shiftx' on page 612 undefine d on input line 46427. LaTeX Warning: Reference `cell/word_mux:mux._pmux' on page 612 undefined on inp ut line 46428. [612] LaTeX Warning: Reference `cell/word_arith:arith._alu' on page 613 undefined on input line 46434. LaTeX Warning: Reference `cell/word_arith:arith._fa' on page 613 undefined on i nput line 46435. LaTeX Warning: Reference `cell/word_arith:arith._lcu' on page 613 undefined on input line 46436. LaTeX Warning: Reference `cell/word_arith:arith._macc' on page 613 undefined on input line 46437. LaTeX Warning: Reference `cell/word_binary:binary._add' on page 613 undefined o n input line 46438. LaTeX Warning: Reference `cell/word_binary:binary._and' on page 613 undefined o n input line 46439. LaTeX Warning: Reference `cell/word_binary:binary._bweqx' on page 613 undefined on input line 46440. LaTeX Warning: Reference `cell/word_binary:binary._div' on page 613 undefined o n input line 46441. LaTeX Warning: Reference `cell/word_binary:binary._divfloor' on page 613 undefi ned on input line 46442. LaTeX Warning: Reference `cell/word_binary:binary._eq' on page 613 undefined on input line 46443. LaTeX Warning: Reference `cell/word_binary:binary._eqx' on page 613 undefined o n input line 46444. LaTeX Warning: Reference `cell/word_binary:binary._ge' on page 613 undefined on input line 46445. LaTeX Warning: Reference `cell/word_binary:binary._gt' on page 613 undefined on input line 46446. LaTeX Warning: Reference `cell/word_binary:binary._le' on page 613 undefined on input line 46447. LaTeX Warning: Reference `cell/word_binary:binary._logic_and' on page 613 undef ined on input line 46448. LaTeX Warning: Reference `cell/word_binary:binary._logic_or' on page 613 undefi ned on input line 46449. LaTeX Warning: Reference `cell/word_binary:binary._lt' on page 613 undefined on input line 46450. LaTeX Warning: Reference `cell/word_binary:binary._mod' on page 613 undefined o n input line 46451. LaTeX Warning: Reference `cell/word_binary:binary._modfloor' on page 613 undefi ned on input line 46452. LaTeX Warning: Reference `cell/word_binary:binary._mul' on page 613 undefined o n input line 46453. LaTeX Warning: Reference `cell/word_binary:binary._ne' on page 613 undefined on input line 46454. LaTeX Warning: Reference `cell/word_binary:binary._nex' on page 613 undefined o n input line 46455. LaTeX Warning: Reference `cell/word_binary:binary._or' on page 613 undefined on input line 46456. LaTeX Warning: Reference `cell/word_binary:binary._pow' on page 613 undefined o n input line 46457. LaTeX Warning: Reference `cell/word_binary:binary._shift' on page 613 undefined on input line 46458. LaTeX Warning: Reference `cell/word_binary:binary._shiftx' on page 613 undefine d on input line 46459. LaTeX Warning: Reference `cell/word_binary:binary._shl' on page 613 undefined o n input line 46460. LaTeX Warning: Reference `cell/word_binary:binary._shr' on page 613 undefined o n input line 46461. LaTeX Warning: Reference `cell/word_binary:binary._sshl' on page 613 undefined on input line 46462. LaTeX Warning: Reference `cell/word_binary:binary._sshr' on page 613 undefined on input line 46463. LaTeX Warning: Reference `cell/word_binary:binary._sub' on page 613 undefined o n input line 46464. LaTeX Warning: Reference `cell/word_binary:binary._xnor' on page 613 undefined on input line 46465. LaTeX Warning: Reference `cell/word_binary:binary._xor' on page 613 undefined o n input line 46466. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ANDNOT_' on p age 613 undefined on input line 46467. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI3_' on pag e 613 undefined on input line 46468. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__AOI4_' on pag e 613 undefined on input line 46469. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX16_' on pa ge 613 undefined on input line 46470. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX4_' on pag e 613 undefined on input line 46471. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__MUX8_' on pag e 613 undefined on input line 46472. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__NMUX_' on pag e 613 undefined on input line 46473. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI3_' on pag e 613 undefined on input line 46474. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__OAI4_' on pag e 613 undefined on input line 46475. LaTeX Warning: Reference `cell/gate_comb_combined:comb_combined.__ORNOT_' on pa ge 613 undefined on input line 46476. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__AND_' on page 613 undefined on input line 46477. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__BUF_' on page 613 undefined on input line 46478. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__MUX_' on page 613 undefined on input line 46479. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NAND_' on page 61 3 undefined on input line 46480. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOR_' on page 613 undefined on input line 46481. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__NOT_' on page 613 undefined on input line 46482. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__OR_' on page 613 undefined on input line 46483. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XNOR_' on page 61 3 undefined on input line 46484. LaTeX Warning: Reference `cell/gate_comb_simple:comb_simple.__XOR_' on page 613 undefined on input line 46485. LaTeX Warning: Reference `cell/word_debug:debug._check' on page 613 undefined o n input line 46486. LaTeX Warning: Reference `cell/word_debug:debug._print' on page 613 undefined o n input line 46487. LaTeX Warning: Reference `cell/word_debug:debug._scopeinfo' on page 613 undefin ed on input line 46488. LaTeX Warning: Reference `cell/word_formal:formal._allconst' on page 613 undefi ned on input line 46489. LaTeX Warning: Reference `cell/word_formal:formal._allseq' on page 613 undefine d on input line 46490. LaTeX Warning: Reference `cell/word_formal:formal._anyconst' on page 613 undefi ned on input line 46491. LaTeX Warning: Reference `cell/word_formal:formal._anyinit' on page 613 undefin ed on input line 46492. LaTeX Warning: Reference `cell/word_formal:formal._anyseq' on page 613 undefine d on input line 46493. LaTeX Warning: Reference `cell/word_formal:formal._assert' on page 613 undefine d on input line 46494. LaTeX Warning: Reference `cell/word_formal:formal._assume' on page 613 undefine d on input line 46495. LaTeX Warning: Reference `cell/word_formal:formal._cover' on page 613 undefined on input line 46496. LaTeX Warning: Reference `cell/word_formal:formal._equiv' on page 613 undefined on input line 46497. LaTeX Warning: Reference `cell/word_formal:formal._fair' on page 613 undefined on input line 46498. LaTeX Warning: Reference `cell/word_formal:formal._ff' on page 613 undefined on input line 46499. LaTeX Warning: Reference `cell/word_formal:formal._initstate' on page 613 undef ined on input line 46500. LaTeX Warning: Reference `cell/word_formal:formal._live' on page 613 undefined on input line 46501. LaTeX Warning: Reference `cell/word_formal:formal_tag._future_ff' on page 613 u ndefined on input line 46502. LaTeX Warning: Reference `cell/word_formal:formal_tag._get_tag' on page 613 und efined on input line 46503. LaTeX Warning: Reference `cell/word_formal:formal_tag._original_tag' on page 61 3 undefined on input line 46504. LaTeX Warning: Reference `cell/word_formal:formal_tag._overwrite_tag' on page 6 13 undefined on input line 46505. LaTeX Warning: Reference `cell/word_formal:formal_tag._set_tag' on page 613 und efined on input line 46506. LaTeX Warning: Reference `cell/word_fsm:fsm._fsm' on page 613 undefined on inpu t line 46507. LaTeX Warning: Reference `cell/gate_other:gate_other.__TBUF_' on page 613 undef ined on input line 46508. LaTeX Warning: Reference `cell/word_logic:logic._lut' on page 613 undefined on input line 46509. LaTeX Warning: Reference `cell/word_logic:logic._sop' on page 613 undefined on input line 46510. LaTeX Warning: Reference `cell/word_mem:mem._mem' on page 613 undefined on inpu t line 46511. LaTeX Warning: Reference `cell/word_mem:mem._mem_v2' on page 613 undefined on i nput line 46512. LaTeX Warning: Reference `cell/word_mem:mem._meminit' on page 613 undefined on input line 46513. LaTeX Warning: Reference `cell/word_mem:mem._meminit_v2' on page 613 undefined on input line 46514. LaTeX Warning: Reference `cell/word_mem:mem._memrd' on page 613 undefined on in put line 46515. LaTeX Warning: Reference `cell/word_mem:mem._memrd_v2' on page 613 undefined on input line 46516. LaTeX Warning: Reference `cell/word_mem:mem._memwr' on page 613 undefined on in put line 46517. [613] LaTeX Warning: Reference `cell/word_mem:mem._memwr_v2' on page 614 undefined on input line 46518. LaTeX Warning: Reference `cell/word_mux:mux._bmux' on page 614 undefined on inp ut line 46519. LaTeX Warning: Reference `cell/word_mux:mux._bwmux' on page 614 undefined on in put line 46520. LaTeX Warning: Reference `cell/word_mux:mux._demux' on page 614 undefined on in put line 46521. LaTeX Warning: Reference `cell/word_mux:mux._mux' on page 614 undefined on inpu t line 46522. LaTeX Warning: Reference `cell/word_mux:mux._pmux' on page 614 undefined on inp ut line 46523. LaTeX Warning: Reference `cell/word_mux:mux._tribuf' on page 614 undefined on i nput line 46524. LaTeX Warning: Reference `cell/word_reg:reg._adff' on page 614 undefined on inp ut line 46525. LaTeX Warning: Reference `cell/word_reg:reg._adffe' on page 614 undefined on in put line 46526. LaTeX Warning: Reference `cell/word_reg:reg._adlatch' on page 614 undefined on input line 46527. LaTeX Warning: Reference `cell/word_reg:reg._aldff' on page 614 undefined on in put line 46528. LaTeX Warning: Reference `cell/word_reg:reg._aldffe' on page 614 undefined on i nput line 46529. LaTeX Warning: Reference `cell/word_reg:reg._dff' on page 614 undefined on inpu t line 46530. LaTeX Warning: Reference `cell/word_reg:reg._dffe' on page 614 undefined on inp ut line 46531. LaTeX Warning: Reference `cell/word_reg:reg._dffsr' on page 614 undefined on in put line 46532. LaTeX Warning: Reference `cell/word_reg:reg._dffsre' on page 614 undefined on i nput line 46533. LaTeX Warning: Reference `cell/word_reg:reg._dlatch' on page 614 undefined on i nput line 46534. LaTeX Warning: Reference `cell/word_reg:reg._dlatchsr' on page 614 undefined on input line 46535. LaTeX Warning: Reference `cell/word_reg:reg._sdff' on page 614 undefined on inp ut line 46536. LaTeX Warning: Reference `cell/word_reg:reg._sdffce' on page 614 undefined on i nput line 46537. LaTeX Warning: Reference `cell/word_reg:reg._sdffe' on page 614 undefined on in put line 46538. LaTeX Warning: Reference `cell/word_reg:reg._sr' on page 614 undefined on input line 46539. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NNN_' on page 614 un defined on input line 46540. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NNP_' on page 614 un defined on input line 46541. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NPN_' on page 614 un defined on input line 46542. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_NPP_' on page 614 un defined on input line 46543. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PNN_' on page 614 un defined on input line 46544. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PNP_' on page 614 un defined on input line 46545. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PPN_' on page 614 un defined on input line 46546. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFFE_PPP_' on page 614 un defined on input line 46547. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_NN_' on page 614 unde fined on input line 46548. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_NP_' on page 614 unde fined on input line 46549. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_PN_' on page 614 unde fined on input line 46550. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__ALDFF_PP_' on page 614 unde fined on input line 46551. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0N_' on page 614 und efined on input line 46552. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN0P_' on page 614 und efined on input line 46553. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1N_' on page 614 und efined on input line 46554. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN1P_' on page 614 und efined on input line 46555. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NN_' on page 614 undef ined on input line 46556. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0N_' on page 614 und efined on input line 46557. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP0P_' on page 614 und efined on input line 46558. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1N_' on page 614 und efined on input line 46559. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP1P_' on page 614 und efined on input line 46560. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_NP_' on page 614 undef ined on input line 46561. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0N_' on page 614 und efined on input line 46562. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN0P_' on page 614 und efined on input line 46563. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1N_' on page 614 und efined on input line 46564. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN1P_' on page 614 und efined on input line 46565. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PN_' on page 614 undef ined on input line 46566. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0N_' on page 614 und efined on input line 46567. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP0P_' on page 614 und efined on input line 46568. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1N_' on page 614 und efined on input line 46569. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP1P_' on page 614 und efined on input line 46570. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFE_PP_' on page 614 undef ined on input line 46571. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNN_' on page 614 u ndefined on input line 46572. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNNP_' on page 614 u ndefined on input line 46573. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPN_' on page 614 u ndefined on input line 46574. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NNPP_' on page 614 u ndefined on input line 46575. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNN_' on page 614 u ndefined on input line 46576. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPNP_' on page 614 u ndefined on input line 46577. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPN_' on page 614 u ndefined on input line 46578. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_NPPP_' on page 614 u ndefined on input line 46579. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNN_' on page 614 u ndefined on input line 46580. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNNP_' on page 614 u ndefined on input line 46581. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPN_' on page 614 u ndefined on input line 46582. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PNPP_' on page 614 u ndefined on input line 46583. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNN_' on page 614 u ndefined on input line 46584. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPNP_' on page 614 u ndefined on input line 46585. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPN_' on page 614 u ndefined on input line 46586. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSRE_PPPP_' on page 614 u ndefined on input line 46587. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNN_' on page 614 und efined on input line 46588. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NNP_' on page 614 und efined on input line 46589. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPN_' on page 614 und efined on input line 46590. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_NPP_' on page 614 und efined on input line 46591. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNN_' on page 614 und efined on input line 46592. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PNP_' on page 614 und efined on input line 46593. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPN_' on page 614 und efined on input line 46594. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFFSR_PPP_' on page 614 und efined on input line 46595. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NN0_' on page 614 undef ined on input line 46596. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NN1_' on page 614 undef ined on input line 46597. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NP0_' on page 614 undef ined on input line 46598. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_NP1_' on page 614 undef ined on input line 46599. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_N_' on page 614 undefin ed on input line 46600. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PN0_' on page 614 undef ined on input line 46601. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PN1_' on page 614 undef ined on input line 46602. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PP0_' on page 614 undef ined on input line 46603. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_PP1_' on page 614 undef ined on input line 46604. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__DFF_P_' on page 614 undefin ed on input line 46605. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__FF_' on page 614 undefined on input line 46606. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0N_' on page 614 u ndefined on input line 46607. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN0P_' on page 614 u ndefined on input line 46608. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1N_' on page 614 u ndefined on input line 46609. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NN1P_' on page 614 u ndefined on input line 46610. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0N_' on page 614 u ndefined on input line 46611. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP0P_' on page 614 u ndefined on input line 46612. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1N_' on page 614 u ndefined on input line 46613. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_NP1P_' on page 614 u ndefined on input line 46614. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0N_' on page 614 u ndefined on input line 46615. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN0P_' on page 614 u ndefined on input line 46616. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1N_' on page 614 u ndefined on input line 46617. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PN1P_' on page 614 u ndefined on input line 46618. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0N_' on page 614 u ndefined on input line 46619. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP0P_' on page 614 u ndefined on input line 46620. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1N_' on page 614 u ndefined on input line 46621. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFCE_PP1P_' on page 614 u ndefined on input line 46622. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0N_' on page 614 un defined on input line 46623. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN0P_' on page 614 un defined on input line 46624. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1N_' on page 614 un defined on input line 46625. [614] LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NN1P_' on page 615 un defined on input line 46626. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0N_' on page 615 un defined on input line 46627. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP0P_' on page 615 un defined on input line 46628. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1N_' on page 615 un defined on input line 46629. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_NP1P_' on page 615 un defined on input line 46630. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0N_' on page 615 un defined on input line 46631. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN0P_' on page 615 un defined on input line 46632. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1N_' on page 615 un defined on input line 46633. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PN1P_' on page 615 un defined on input line 46634. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0N_' on page 615 un defined on input line 46635. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP0P_' on page 615 un defined on input line 46636. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1N_' on page 615 un defined on input line 46637. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFFE_PP1P_' on page 615 un defined on input line 46638. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NN0_' on page 615 unde fined on input line 46639. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NN1_' on page 615 unde fined on input line 46640. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NP0_' on page 615 unde fined on input line 46641. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_NP1_' on page 615 unde fined on input line 46642. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PN0_' on page 615 unde fined on input line 46643. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PN1_' on page 615 unde fined on input line 46644. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PP0_' on page 615 unde fined on input line 46645. LaTeX Warning: Reference `cell/gate_reg_ff:reg_ff.__SDFF_PP1_' on page 615 unde fined on input line 46646. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNN_' on pag e 615 undefined on input line 46647. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NNP_' on pag e 615 undefined on input line 46648. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPN_' on pag e 615 undefined on input line 46649. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_NPP_' on pag e 615 undefined on input line 46650. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNN_' on pag e 615 undefined on input line 46651. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PNP_' on pag e 615 undefined on input line 46652. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPN_' on pag e 615 undefined on input line 46653. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCHSR_PPP_' on pag e 615 undefined on input line 46654. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN0_' on page 615 undefined on input line 46655. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NN1_' on page 615 undefined on input line 46656. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP0_' on page 615 undefined on input line 46657. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_NP1_' on page 615 undefined on input line 46658. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_N_' on page 61 5 undefined on input line 46659. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN0_' on page 615 undefined on input line 46660. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PN1_' on page 615 undefined on input line 46661. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP0_' on page 615 undefined on input line 46662. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_PP1_' on page 615 undefined on input line 46663. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__DLATCH_P_' on page 61 5 undefined on input line 46664. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_NN_' on page 615 u ndefined on input line 46665. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_NP_' on page 615 u ndefined on input line 46666. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_PN_' on page 615 u ndefined on input line 46667. LaTeX Warning: Reference `cell/gate_reg_latch:reg_latch.__SR_PP_' on page 615 u ndefined on input line 46668. LaTeX Warning: Reference `cell/word_spec:spec._specify2' on page 615 undefined on input line 46669. LaTeX Warning: Reference `cell/word_spec:spec._specify3' on page 615 undefined on input line 46670. LaTeX Warning: Reference `cell/word_spec:spec._specrule' on page 615 undefined on input line 46671. LaTeX Warning: Reference `cell/word_unary:unary._buf' on page 615 undefined on input line 46672. LaTeX Warning: Reference `cell/word_unary:unary._logic_not' on page 615 undefin ed on input line 46673. LaTeX Warning: Reference `cell/word_unary:unary._neg' on page 615 undefined on input line 46674. LaTeX Warning: Reference `cell/word_unary:unary._not' on page 615 undefined on input line 46675. LaTeX Warning: Reference `cell/word_unary:unary._pos' on page 615 undefined on input line 46676. LaTeX Warning: Reference `cell/word_unary:unary._reduce_and' on page 615 undefi ned on input line 46677. LaTeX Warning: Reference `cell/word_unary:unary._reduce_bool' on page 615 undef ined on input line 46678. LaTeX Warning: Reference `cell/word_unary:unary._reduce_or' on page 615 undefin ed on input line 46679. LaTeX Warning: Reference `cell/word_unary:unary._reduce_xnor' on page 615 undef ined on input line 46680. LaTeX Warning: Reference `cell/word_unary:unary._reduce_xor' on page 615 undefi ned on input line 46681. LaTeX Warning: Reference `cell/word_wire:wire._concat' on page 615 undefined on input line 46682. LaTeX Warning: Reference `cell/word_wire:wire._slice' on page 615 undefined on input line 46683. [615] [616] LaTeX Warning: Reference `cmd/abc:cmd-abc' on page 617 undefined on input line 46689. LaTeX Warning: Reference `cmd/abc9:cmd-abc9' on page 617 undefined on input lin e 46690. LaTeX Warning: Reference `cmd/abc9_exe:cmd-abc9_exe' on page 617 undefined on i nput line 46691. LaTeX Warning: Reference `cmd/abc9_ops:cmd-abc9_ops' on page 617 undefined on i nput line 46692. LaTeX Warning: Reference `cmd/abc_new:cmd-abc_new' on page 617 undefined on inp ut line 46693. LaTeX Warning: Reference `cmd/abstract:cmd-abstract' on page 617 undefined on i nput line 46694. LaTeX Warning: Reference `cmd/add:cmd-add' on page 617 undefined on input line 46695. LaTeX Warning: Reference `cmd/aigmap:cmd-aigmap' on page 617 undefined on input line 46696. LaTeX Warning: Reference `cmd/alumacc:cmd-alumacc' on page 617 undefined on inp ut line 46697. LaTeX Warning: Reference `cmd/anlogic_eqn:cmd-anlogic_eqn' on page 617 undefine d on input line 46698. LaTeX Warning: Reference `cmd/anlogic_fixcarry:cmd-anlogic_fixcarry' on page 61 7 undefined on input line 46699. LaTeX Warning: Reference `cmd/assertpmux:cmd-assertpmux' on page 617 undefined on input line 46700. LaTeX Warning: Reference `cmd/async2sync:cmd-async2sync' on page 617 undefined on input line 46701. LaTeX Warning: Reference `cmd/attrmap:cmd-attrmap' on page 617 undefined on inp ut line 46702. LaTeX Warning: Reference `cmd/attrmvcp:cmd-attrmvcp' on page 617 undefined on i nput line 46703. LaTeX Warning: Reference `cmd/autoname:cmd-autoname' on page 617 undefined on i nput line 46704. LaTeX Warning: Reference `cmd/blackbox:cmd-blackbox' on page 617 undefined on i nput line 46705. LaTeX Warning: Reference `cmd/bmuxmap:cmd-bmuxmap' on page 617 undefined on inp ut line 46706. LaTeX Warning: Reference `cmd/booth:cmd-booth' on page 617 undefined on input l ine 46707. LaTeX Warning: Reference `cmd/box_derive:cmd-box_derive' on page 617 undefined on input line 46708. LaTeX Warning: Reference `cmd/bufnorm:cmd-bufnorm' on page 617 undefined on inp ut line 46709. LaTeX Warning: Reference `cmd/bugpoint:cmd-bugpoint' on page 617 undefined on i nput line 46710. LaTeX Warning: Reference `cmd/bwmuxmap:cmd-bwmuxmap' on page 617 undefined on i nput line 46711. LaTeX Warning: Reference `cmd/cd:cmd-cd' on page 617 undefined on input line 46 712. LaTeX Warning: Reference `cmd/cellmatch:cmd-cellmatch' on page 617 undefined on input line 46713. LaTeX Warning: Reference `cmd/check:cmd-check' on page 617 undefined on input l ine 46714. LaTeX Warning: Reference `cmd/chformal:cmd-chformal' on page 617 undefined on i nput line 46715. LaTeX Warning: Reference `cmd/chparam:cmd-chparam' on page 617 undefined on inp ut line 46716. LaTeX Warning: Reference `cmd/chtype:cmd-chtype' on page 617 undefined on input line 46717. LaTeX Warning: Reference `cmd/clean:cmd-clean' on page 617 undefined on input l ine 46718. LaTeX Warning: Reference `cmd/clean_zerowidth:cmd-clean_zerowidth' on page 617 undefined on input line 46719. LaTeX Warning: Reference `cmd/clk2fflogic:cmd-clk2fflogic' on page 617 undefine d on input line 46720. LaTeX Warning: Reference `cmd/clkbufmap:cmd-clkbufmap' on page 617 undefined on input line 46721. LaTeX Warning: Reference `cmd/clockgate:cmd-clockgate' on page 617 undefined on input line 46722. LaTeX Warning: Reference `cmd/connect:cmd-connect' on page 617 undefined on inp ut line 46723. LaTeX Warning: Reference `cmd/connect_rpc:cmd-connect_rpc' on page 617 undefine d on input line 46724. LaTeX Warning: Reference `cmd/connwrappers:cmd-connwrappers' on page 617 undefi ned on input line 46725. LaTeX Warning: Reference `cmd/coolrunner2_fixup:cmd-coolrunner2_fixup' on page 617 undefined on input line 46726. LaTeX Warning: Reference `cmd/coolrunner2_sop:cmd-coolrunner2_sop' on page 617 undefined on input line 46727. LaTeX Warning: Reference `cmd/copy:cmd-copy' on page 617 undefined on input lin e 46728. LaTeX Warning: Reference `cmd/cover:cmd-cover' on page 617 undefined on input l ine 46729. LaTeX Warning: Reference `cmd/cutpoint:cmd-cutpoint' on page 617 undefined on i nput line 46730. LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 617 undefined on input l ine 46731. LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 617 undefined on input line 46732. LaTeX Warning: Reference `cmd/deminout:cmd-deminout' on page 617 undefined on i nput line 46733. LaTeX Warning: Reference `cmd/demuxmap:cmd-demuxmap' on page 617 undefined on i nput line 46734. LaTeX Warning: Reference `cmd/design:cmd-design' on page 617 undefined on input line 46735. LaTeX Warning: Reference `cmd/dffinit:cmd-dffinit' on page 617 undefined on inp ut line 46736. LaTeX Warning: Reference `cmd/dfflegalize:cmd-dfflegalize' on page 617 undefine d on input line 46737. LaTeX Warning: Reference `cmd/dfflibmap:cmd-dfflibmap' on page 617 undefined on input line 46738. LaTeX Warning: Reference `cmd/dffunmap:cmd-dffunmap' on page 617 undefined on i nput line 46739. LaTeX Warning: Reference `cmd/dft_tag:cmd-dft_tag' on page 617 undefined on inp ut line 46740. LaTeX Warning: Reference `cmd/dump:cmd-dump' on page 617 undefined on input lin e 46741. LaTeX Warning: Reference `cmd/echo:cmd-echo' on page 617 undefined on input lin e 46742. LaTeX Warning: Reference `cmd/edgetypes:cmd-edgetypes' on page 617 undefined on input line 46743. LaTeX Warning: Reference `cmd/efinix_fixcarry:cmd-efinix_fixcarry' on page 617 undefined on input line 46744. LaTeX Warning: Reference `cmd/equiv_add:cmd-equiv_add' on page 617 undefined on input line 46745. LaTeX Warning: Reference `cmd/equiv_induct:cmd-equiv_induct' on page 617 undefi ned on input line 46746. LaTeX Warning: Reference `cmd/equiv_make:cmd-equiv_make' on page 617 undefined on input line 46747. LaTeX Warning: Reference `cmd/equiv_mark:cmd-equiv_mark' on page 617 undefined on input line 46748. LaTeX Warning: Reference `cmd/equiv_miter:cmd-equiv_miter' on page 617 undefine d on input line 46749. LaTeX Warning: Reference `cmd/equiv_opt:cmd-equiv_opt' on page 617 undefined on input line 46750. LaTeX Warning: Reference `cmd/equiv_purge:cmd-equiv_purge' on page 617 undefine d on input line 46751. LaTeX Warning: Reference `cmd/equiv_remove:cmd-equiv_remove' on page 617 undefi ned on input line 46752. LaTeX Warning: Reference `cmd/equiv_simple:cmd-equiv_simple' on page 617 undefi ned on input line 46753. LaTeX Warning: Reference `cmd/equiv_status:cmd-equiv_status' on page 617 undefi ned on input line 46754. LaTeX Warning: Reference `cmd/equiv_struct:cmd-equiv_struct' on page 617 undefi ned on input line 46755. LaTeX Warning: Reference `cmd/eval:cmd-eval' on page 617 undefined on input lin e 46756. LaTeX Warning: Reference `cmd/example_dt:cmd-example_dt' on page 617 undefined on input line 46757. LaTeX Warning: Reference `cmd/exec:cmd-exec' on page 617 undefined on input lin e 46758. LaTeX Warning: Reference `cmd/expose:cmd-expose' on page 617 undefined on input line 46759. LaTeX Warning: Reference `cmd/extract:cmd-extract' on page 617 undefined on inp ut line 46760. LaTeX Warning: Reference `cmd/extract_counter:cmd-extract_counter' on page 617 undefined on input line 46761. LaTeX Warning: Reference `cmd/extract_fa:cmd-extract_fa' on page 617 undefined on input line 46762. LaTeX Warning: Reference `cmd/extract_reduce:cmd-extract_reduce' on page 617 un defined on input line 46763. LaTeX Warning: Reference `cmd/extractinv:cmd-extractinv' on page 617 undefined on input line 46764. LaTeX Warning: Reference `cmd/flatten:cmd-flatten' on page 617 undefined on inp ut line 46765. LaTeX Warning: Reference `cmd/flowmap:cmd-flowmap' on page 617 undefined on inp ut line 46766. LaTeX Warning: Reference `cmd/fmcombine:cmd-fmcombine' on page 617 undefined on input line 46767. LaTeX Warning: Reference `cmd/fminit:cmd-fminit' on page 617 undefined on input line 46768. LaTeX Warning: Reference `cmd/formalff:cmd-formalff' on page 617 undefined on i nput line 46769. LaTeX Warning: Reference `cmd/freduce:cmd-freduce' on page 617 undefined on inp ut line 46770. LaTeX Warning: Reference `cmd/fsm:cmd-fsm' on page 617 undefined on input line 46771. LaTeX Warning: Reference `cmd/fsm_detect:cmd-fsm_detect' on page 617 undefined on input line 46772. [617] LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 618 undefined on input line 46773. LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 618 undefined on input line 46774. LaTeX Warning: Reference `cmd/fsm_extract:cmd-fsm_extract' on page 618 undefine d on input line 46775. LaTeX Warning: Reference `cmd/fsm_info:cmd-fsm_info' on page 618 undefined on i nput line 46776. LaTeX Warning: Reference `cmd/fsm_map:cmd-fsm_map' on page 618 undefined on inp ut line 46777. LaTeX Warning: Reference `cmd/fsm_opt:cmd-fsm_opt' on page 618 undefined on inp ut line 46778. LaTeX Warning: Reference `cmd/fsm_recode:cmd-fsm_recode' on page 618 undefined on input line 46779. LaTeX Warning: Reference `cmd/fst2tb:cmd-fst2tb' on page 618 undefined on input line 46780. LaTeX Warning: Reference `cmd/future:cmd-future' on page 618 undefined on input line 46781. LaTeX Warning: Reference `cmd/gatemate_foldinv:cmd-gatemate_foldinv' on page 61 8 undefined on input line 46782. LaTeX Warning: Reference `cmd/glift:cmd-glift' on page 618 undefined on input l ine 46783. LaTeX Warning: Reference `cmd/greenpak4_dffinv:cmd-greenpak4_dffinv' on page 61 8 undefined on input line 46784. LaTeX Warning: Reference `cmd/help:cmd-help' on page 618 undefined on input lin e 46785. LaTeX Warning: Reference `cmd/hierarchy:cmd-hierarchy' on page 618 undefined on input line 46786. LaTeX Warning: Reference `cmd/hilomap:cmd-hilomap' on page 618 undefined on inp ut line 46787. LaTeX Warning: Reference `cmd/history:cmd-history' on page 618 undefined on inp ut line 46788. LaTeX Warning: Reference `cmd/ice40_braminit:cmd-ice40_braminit' on page 618 un defined on input line 46789. LaTeX Warning: Reference `cmd/ice40_dsp:cmd-ice40_dsp' on page 618 undefined on input line 46790. LaTeX Warning: Reference `cmd/ice40_opt:cmd-ice40_opt' on page 618 undefined on input line 46791. LaTeX Warning: Reference `cmd/ice40_wrapcarry:cmd-ice40_wrapcarry' on page 618 undefined on input line 46792. LaTeX Warning: Reference `cmd/insbuf:cmd-insbuf' on page 618 undefined on input line 46793. LaTeX Warning: Reference `cmd/internal_stats:cmd-internal_stats' on page 618 un defined on input line 46794. LaTeX Warning: Reference `cmd/iopadmap:cmd-iopadmap' on page 618 undefined on i nput line 46795. LaTeX Warning: Reference `cmd/jny:cmd-jny' on page 618 undefined on input line 46796. LaTeX Warning: Reference `cmd/json:cmd-json' on page 618 undefined on input lin e 46797. LaTeX Warning: Reference `cmd/keep_hierarchy:cmd-keep_hierarchy' on page 618 un defined on input line 46798. LaTeX Warning: Reference `cmd/lattice_gsr:cmd-lattice_gsr' on page 618 undefine d on input line 46799. LaTeX Warning: Reference `cmd/license:cmd-license' on page 618 undefined on inp ut line 46800. LaTeX Warning: Reference `cmd/log:cmd-log' on page 618 undefined on input line 46801. LaTeX Warning: Reference `cmd/logger:cmd-logger' on page 618 undefined on input line 46802. LaTeX Warning: Reference `cmd/ls:cmd-ls' on page 618 undefined on input line 46 803. LaTeX Warning: Reference `cmd/ltp:cmd-ltp' on page 618 undefined on input line 46804. LaTeX Warning: Reference `cmd/lut2mux:cmd-lut2mux' on page 618 undefined on inp ut line 46805. LaTeX Warning: Reference `cmd/maccmap:cmd-maccmap' on page 618 undefined on inp ut line 46806. LaTeX Warning: Reference `cmd/memory:cmd-memory' on page 618 undefined on input line 46807. LaTeX Warning: Reference `cmd/memory_bmux2rom:cmd-memory_bmux2rom' on page 618 undefined on input line 46808. LaTeX Warning: Reference `cmd/memory_bram:cmd-memory_bram' on page 618 undefine d on input line 46809. LaTeX Warning: Reference `cmd/memory_collect:cmd-memory_collect' on page 618 un defined on input line 46810. LaTeX Warning: Reference `cmd/memory_dff:cmd-memory_dff' on page 618 undefined on input line 46811. LaTeX Warning: Reference `cmd/memory_libmap:cmd-memory_libmap' on page 618 unde fined on input line 46812. LaTeX Warning: Reference `cmd/memory_map:cmd-memory_map' on page 618 undefined on input line 46813. LaTeX Warning: Reference `cmd/memory_memx:cmd-memory_memx' on page 618 undefine d on input line 46814. LaTeX Warning: Reference `cmd/memory_narrow:cmd-memory_narrow' on page 618 unde fined on input line 46815. LaTeX Warning: Reference `cmd/memory_nordff:cmd-memory_nordff' on page 618 unde fined on input line 46816. LaTeX Warning: Reference `cmd/memory_share:cmd-memory_share' on page 618 undefi ned on input line 46817. LaTeX Warning: Reference `cmd/memory_unpack:cmd-memory_unpack' on page 618 unde fined on input line 46818. LaTeX Warning: Reference `cmd/microchip_dffopt:cmd-microchip_dffopt' on page 61 8 undefined on input line 46819. LaTeX Warning: Reference `cmd/microchip_dsp:cmd-microchip_dsp' on page 618 unde fined on input line 46820. LaTeX Warning: Reference `cmd/miter:cmd-miter' on page 618 undefined on input l ine 46821. LaTeX Warning: Reference `cmd/mutate:cmd-mutate' on page 618 undefined on input line 46822. LaTeX Warning: Reference `cmd/muxcover:cmd-muxcover' on page 618 undefined on i nput line 46823. LaTeX Warning: Reference `cmd/muxpack:cmd-muxpack' on page 618 undefined on inp ut line 46824. LaTeX Warning: Reference `cmd/nlutmap:cmd-nlutmap' on page 618 undefined on inp ut line 46825. LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 618 undefined on i nput line 46826. LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 618 undefined on input line 46827. LaTeX Warning: Reference `cmd/opt:cmd-opt' on page 618 undefined on input line 46828. LaTeX Warning: Reference `cmd/opt_clean:cmd-opt_clean' on page 618 undefined on input line 46829. LaTeX Warning: Reference `cmd/opt_demorgan:cmd-opt_demorgan' on page 618 undefi ned on input line 46830. LaTeX Warning: Reference `cmd/opt_dff:cmd-opt_dff' on page 618 undefined on inp ut line 46831. LaTeX Warning: Reference `cmd/opt_expr:cmd-opt_expr' on page 618 undefined on i nput line 46832. LaTeX Warning: Reference `cmd/opt_ffinv:cmd-opt_ffinv' on page 618 undefined on input line 46833. LaTeX Warning: Reference `cmd/opt_lut:cmd-opt_lut' on page 618 undefined on inp ut line 46834. LaTeX Warning: Reference `cmd/opt_lut_ins:cmd-opt_lut_ins' on page 618 undefine d on input line 46835. LaTeX Warning: Reference `cmd/opt_mem:cmd-opt_mem' on page 618 undefined on inp ut line 46836. LaTeX Warning: Reference `cmd/opt_mem_feedback:cmd-opt_mem_feedback' on page 61 8 undefined on input line 46837. LaTeX Warning: Reference `cmd/opt_mem_priority:cmd-opt_mem_priority' on page 61 8 undefined on input line 46838. LaTeX Warning: Reference `cmd/opt_mem_widen:cmd-opt_mem_widen' on page 618 unde fined on input line 46839. LaTeX Warning: Reference `cmd/opt_merge:cmd-opt_merge' on page 618 undefined on input line 46840. LaTeX Warning: Reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 618 undefine d on input line 46841. LaTeX Warning: Reference `cmd/opt_reduce:cmd-opt_reduce' on page 618 undefined on input line 46842. LaTeX Warning: Reference `cmd/opt_share:cmd-opt_share' on page 618 undefined on input line 46843. LaTeX Warning: Reference `cmd/paramap:cmd-paramap' on page 618 undefined on inp ut line 46844. LaTeX Warning: Reference `cmd/peepopt:cmd-peepopt' on page 618 undefined on inp ut line 46845. LaTeX Warning: Reference `cmd/plugin:cmd-plugin' on page 618 undefined on input line 46846. LaTeX Warning: Reference `cmd/pmux2shiftx:cmd-pmux2shiftx' on page 618 undefine d on input line 46847. LaTeX Warning: Reference `cmd/pmuxtree:cmd-pmuxtree' on page 618 undefined on i nput line 46848. LaTeX Warning: Reference `cmd/portarcs:cmd-portarcs' on page 618 undefined on i nput line 46849. LaTeX Warning: Reference `cmd/portlist:cmd-portlist' on page 618 undefined on i nput line 46850. LaTeX Warning: Reference `cmd/prep:cmd-prep' on page 618 undefined on input lin e 46851. LaTeX Warning: Reference `cmd/printattrs:cmd-printattrs' on page 618 undefined on input line 46852. LaTeX Warning: Reference `cmd/proc:cmd-proc' on page 618 undefined on input lin e 46853. LaTeX Warning: Reference `cmd/proc_arst:cmd-proc_arst' on page 618 undefined on input line 46854. LaTeX Warning: Reference `cmd/proc_clean:cmd-proc_clean' on page 618 undefined on input line 46855. LaTeX Warning: Reference `cmd/proc_dff:cmd-proc_dff' on page 618 undefined on i nput line 46856. LaTeX Warning: Reference `cmd/proc_dlatch:cmd-proc_dlatch' on page 618 undefine d on input line 46857. LaTeX Warning: Reference `cmd/proc_init:cmd-proc_init' on page 618 undefined on input line 46858. LaTeX Warning: Reference `cmd/proc_memwr:cmd-proc_memwr' on page 618 undefined on input line 46859. LaTeX Warning: Reference `cmd/proc_mux:cmd-proc_mux' on page 618 undefined on i nput line 46860. LaTeX Warning: Reference `cmd/proc_prune:cmd-proc_prune' on page 618 undefined on input line 46861. LaTeX Warning: Reference `cmd/proc_rmdead:cmd-proc_rmdead' on page 618 undefine d on input line 46862. LaTeX Warning: Reference `cmd/proc_rom:cmd-proc_rom' on page 618 undefined on i nput line 46863. LaTeX Warning: Reference `cmd/qbfsat:cmd-qbfsat' on page 618 undefined on input line 46864. LaTeX Warning: Reference `cmd/ql_bram_merge:cmd-ql_bram_merge' on page 618 unde fined on input line 46865. LaTeX Warning: Reference `cmd/ql_bram_types:cmd-ql_bram_types' on page 618 unde fined on input line 46866. LaTeX Warning: Reference `cmd/ql_dsp_io_regs:cmd-ql_dsp_io_regs' on page 618 un defined on input line 46867. LaTeX Warning: Reference `cmd/ql_dsp_macc:cmd-ql_dsp_macc' on page 618 undefine d on input line 46868. LaTeX Warning: Reference `cmd/ql_dsp_simd:cmd-ql_dsp_simd' on page 618 undefine d on input line 46869. LaTeX Warning: Reference `cmd/ql_ioff:cmd-ql_ioff' on page 618 undefined on inp ut line 46870. LaTeX Warning: Reference `cmd/read:cmd-read' on page 618 undefined on input lin e 46871. LaTeX Warning: Reference `cmd/read_aiger:cmd-read_aiger' on page 618 undefined on input line 46872. LaTeX Warning: Reference `cmd/read_blif:cmd-read_blif' on page 618 undefined on input line 46873. LaTeX Warning: Reference `cmd/read_json:cmd-read_json' on page 618 undefined on input line 46874. LaTeX Warning: Reference `cmd/read_liberty:cmd-read_liberty' on page 618 undefi ned on input line 46875. LaTeX Warning: Reference `cmd/read_rtlil:cmd-read_rtlil' on page 618 undefined on input line 46876. LaTeX Warning: Reference `cmd/read_verilog:cmd-read_verilog' on page 618 undefi ned on input line 46877. LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 618 undefi ned on input line 46878. LaTeX Warning: Reference `cmd/recover_names:cmd-recover_names' on page 618 unde fined on input line 46879. LaTeX Warning: Reference `cmd/rename:cmd-rename' on page 618 undefined on input line 46880. [618] LaTeX Warning: Reference `cmd/rmports:cmd-rmports' on page 619 undefined on inp ut line 46881. LaTeX Warning: Reference `cmd/sat:cmd-sat' on page 619 undefined on input line 46882. LaTeX Warning: Reference `cmd/scatter:cmd-scatter' on page 619 undefined on inp ut line 46883. LaTeX Warning: Reference `cmd/scc:cmd-scc' on page 619 undefined on input line 46884. LaTeX Warning: Reference `cmd/scratchpad:cmd-scratchpad' on page 619 undefined on input line 46885. LaTeX Warning: Reference `cmd/script:cmd-script' on page 619 undefined on input line 46886. LaTeX Warning: Reference `cmd/select:cmd-select' on page 619 undefined on input line 46887. LaTeX Warning: Reference `cmd/setattr:cmd-setattr' on page 619 undefined on inp ut line 46888. LaTeX Warning: Reference `cmd/setenv:cmd-setenv' on page 619 undefined on input line 46889. LaTeX Warning: Reference `cmd/setparam:cmd-setparam' on page 619 undefined on i nput line 46890. LaTeX Warning: Reference `cmd/setundef:cmd-setundef' on page 619 undefined on i nput line 46891. LaTeX Warning: Reference `cmd/share:cmd-share' on page 619 undefined on input l ine 46892. LaTeX Warning: Reference `cmd/shell:cmd-shell' on page 619 undefined on input l ine 46893. LaTeX Warning: Reference `cmd/show:cmd-show' on page 619 undefined on input lin e 46894. LaTeX Warning: Reference `cmd/shregmap:cmd-shregmap' on page 619 undefined on i nput line 46895. LaTeX Warning: Reference `cmd/sim:cmd-sim' on page 619 undefined on input line 46896. LaTeX Warning: Reference `cmd/simplemap:cmd-simplemap' on page 619 undefined on input line 46897. LaTeX Warning: Reference `cmd/splice:cmd-splice' on page 619 undefined on input line 46898. LaTeX Warning: Reference `cmd/splitcells:cmd-splitcells' on page 619 undefined on input line 46899. LaTeX Warning: Reference `cmd/splitnets:cmd-splitnets' on page 619 undefined on input line 46900. LaTeX Warning: Reference `cmd/sta:cmd-sta' on page 619 undefined on input line 46901. LaTeX Warning: Reference `cmd/stat:cmd-stat' on page 619 undefined on input lin e 46902. LaTeX Warning: Reference `cmd/submod:cmd-submod' on page 619 undefined on input line 46903. LaTeX Warning: Reference `cmd/supercover:cmd-supercover' on page 619 undefined on input line 46904. LaTeX Warning: Reference `cmd/synth:cmd-synth' on page 619 undefined on input l ine 46905. LaTeX Warning: Reference `cmd/synth_achronix:cmd-synth_achronix' on page 619 un defined on input line 46906. LaTeX Warning: Reference `cmd/synth_anlogic:cmd-synth_anlogic' on page 619 unde fined on input line 46907. LaTeX Warning: Reference `cmd/synth_coolrunner2:cmd-synth_coolrunner2' on page 619 undefined on input line 46908. LaTeX Warning: Reference `cmd/synth_easic:cmd-synth_easic' on page 619 undefine d on input line 46909. LaTeX Warning: Reference `cmd/synth_ecp5:cmd-synth_ecp5' on page 619 undefined on input line 46910. LaTeX Warning: Reference `cmd/synth_efinix:cmd-synth_efinix' on page 619 undefi ned on input line 46911. LaTeX Warning: Reference `cmd/synth_fabulous:cmd-synth_fabulous' on page 619 un defined on input line 46912. LaTeX Warning: Reference `cmd/synth_gatemate:cmd-synth_gatemate' on page 619 un defined on input line 46913. LaTeX Warning: Reference `cmd/synth_gowin:cmd-synth_gowin' on page 619 undefine d on input line 46914. LaTeX Warning: Reference `cmd/synth_greenpak4:cmd-synth_greenpak4' on page 619 undefined on input line 46915. LaTeX Warning: Reference `cmd/synth_ice40:cmd-synth_ice40' on page 619 undefine d on input line 46916. LaTeX Warning: Reference `cmd/synth_intel:cmd-synth_intel' on page 619 undefine d on input line 46917. LaTeX Warning: Reference `cmd/synth_intel_alm:cmd-synth_intel_alm' on page 619 undefined on input line 46918. LaTeX Warning: Reference `cmd/synth_lattice:cmd-synth_lattice' on page 619 unde fined on input line 46919. LaTeX Warning: Reference `cmd/synth_microchip:cmd-synth_microchip' on page 619 undefined on input line 46920. LaTeX Warning: Reference `cmd/synth_nanoxplore:cmd-synth_nanoxplore' on page 61 9 undefined on input line 46921. LaTeX Warning: Reference `cmd/synth_nexus:cmd-synth_nexus' on page 619 undefine d on input line 46922. LaTeX Warning: Reference `cmd/synth_quicklogic:cmd-synth_quicklogic' on page 61 9 undefined on input line 46923. LaTeX Warning: Reference `cmd/synth_sf2:cmd-synth_sf2' on page 619 undefined on input line 46924. LaTeX Warning: Reference `cmd/synth_xilinx:cmd-synth_xilinx' on page 619 undefi ned on input line 46925. LaTeX Warning: Reference `cmd/synthprop:cmd-synthprop' on page 619 undefined on input line 46926. LaTeX Warning: Reference `cmd/tcl:cmd-tcl' on page 619 undefined on input line 46927. LaTeX Warning: Reference `cmd/techmap:cmd-techmap' on page 619 undefined on inp ut line 46928. LaTeX Warning: Reference `cmd/tee:cmd-tee' on page 619 undefined on input line 46929. LaTeX Warning: Reference `cmd/test_abcloop:cmd-test_abcloop' on page 619 undefi ned on input line 46930. LaTeX Warning: Reference `cmd/test_autotb:cmd-test_autotb' on page 619 undefine d on input line 46931. LaTeX Warning: Reference `cmd/test_cell:cmd-test_cell' on page 619 undefined on input line 46932. LaTeX Warning: Reference `cmd/test_generic:cmd-test_generic' on page 619 undefi ned on input line 46933. LaTeX Warning: Reference `cmd/test_pmgen:cmd-test_pmgen' on page 619 undefined on input line 46934. LaTeX Warning: Reference `cmd/torder:cmd-torder' on page 619 undefined on input line 46935. LaTeX Warning: Reference `cmd/trace:cmd-trace' on page 619 undefined on input l ine 46936. LaTeX Warning: Reference `cmd/tribuf:cmd-tribuf' on page 619 undefined on input line 46937. LaTeX Warning: Reference `cmd/uniquify:cmd-uniquify' on page 619 undefined on i nput line 46938. LaTeX Warning: Reference `cmd/verific:cmd-verific' on page 619 undefined on inp ut line 46939. LaTeX Warning: Reference `cmd/verilog_defaults:cmd-verilog_defaults' on page 61 9 undefined on input line 46940. LaTeX Warning: Reference `cmd/verilog_defines:cmd-verilog_defines' on page 619 undefined on input line 46941. LaTeX Warning: Reference `cmd/viz:cmd-viz' on page 619 undefined on input line 46942. LaTeX Warning: Reference `cmd/wbflip:cmd-wbflip' on page 619 undefined on input line 46943. LaTeX Warning: Reference `cmd/wrapcell:cmd-wrapcell' on page 619 undefined on i nput line 46944. LaTeX Warning: Reference `cmd/wreduce:cmd-wreduce' on page 619 undefined on inp ut line 46945. LaTeX Warning: Reference `cmd/write_aiger:cmd-write_aiger' on page 619 undefine d on input line 46946. LaTeX Warning: Reference `cmd/write_aiger2:cmd-write_aiger2' on page 619 undefi ned on input line 46947. LaTeX Warning: Reference `cmd/write_blif:cmd-write_blif' on page 619 undefined on input line 46948. LaTeX Warning: Reference `cmd/write_btor:cmd-write_btor' on page 619 undefined on input line 46949. LaTeX Warning: Reference `cmd/write_cxxrtl:cmd-write_cxxrtl' on page 619 undefi ned on input line 46950. LaTeX Warning: Reference `cmd/write_edif:cmd-write_edif' on page 619 undefined on input line 46951. LaTeX Warning: Reference `cmd/write_file:cmd-write_file' on page 619 undefined on input line 46952. LaTeX Warning: Reference `cmd/write_firrtl:cmd-write_firrtl' on page 619 undefi ned on input line 46953. LaTeX Warning: Reference `cmd/write_functional_cxx:cmd-write_functional_cxx' on page 619 undefined on input line 46954. LaTeX Warning: Reference `cmd/write_functional_rosette:cmd-write_functional_ros ette' on page 619 undefined on input line 46955. LaTeX Warning: Reference `cmd/write_functional_smt2:cmd-write_functional_smt2' on page 619 undefined on input line 46956. LaTeX Warning: Reference `cmd/write_intersynth:cmd-write_intersynth' on page 61 9 undefined on input line 46957. LaTeX Warning: Reference `cmd/write_jny:cmd-write_jny' on page 619 undefined on input line 46958. LaTeX Warning: Reference `cmd/write_json:cmd-write_json' on page 619 undefined on input line 46959. LaTeX Warning: Reference `cmd/write_rtlil:cmd-write_rtlil' on page 619 undefine d on input line 46960. LaTeX Warning: Reference `cmd/write_simplec:cmd-write_simplec' on page 619 unde fined on input line 46961. LaTeX Warning: Reference `cmd/write_smt2:cmd-write_smt2' on page 619 undefined on input line 46962. LaTeX Warning: Reference `cmd/write_smv:cmd-write_smv' on page 619 undefined on input line 46963. LaTeX Warning: Reference `cmd/write_spice:cmd-write_spice' on page 619 undefine d on input line 46964. LaTeX Warning: Reference `cmd/write_table:cmd-write_table' on page 619 undefine d on input line 46965. LaTeX Warning: Reference `cmd/write_verilog:cmd-write_verilog' on page 619 unde fined on input line 46966. LaTeX Warning: Reference `cmd/write_xaiger:cmd-write_xaiger' on page 619 undefi ned on input line 46967. LaTeX Warning: Reference `cmd/write_xaiger2:cmd-write_xaiger2' on page 619 unde fined on input line 46968. LaTeX Warning: Reference `cmd/xilinx_dffopt:cmd-xilinx_dffopt' on page 619 unde fined on input line 46969. LaTeX Warning: Reference `cmd/xilinx_dsp:cmd-xilinx_dsp' on page 619 undefined on input line 46970. LaTeX Warning: Reference `cmd/xilinx_srl:cmd-xilinx_srl' on page 619 undefined on input line 46971. LaTeX Warning: Reference `cmd/xprop:cmd-xprop' on page 619 undefined on input l ine 46972. LaTeX Warning: Reference `cmd/zinit:cmd-zinit' on page 619 undefined on input l ine 46973. [619] [620] LaTeX Warning: Reference `cmd/abc:cmd-abc' on page 621 undefined on input line 46979. LaTeX Warning: Reference `cmd/abc9:cmd-abc9' on page 621 undefined on input lin e 46980. LaTeX Warning: Reference `cmd/abc9_exe:cmd-abc9_exe' on page 621 undefined on i nput line 46981. LaTeX Warning: Reference `cmd/abc9_ops:cmd-abc9_ops' on page 621 undefined on i nput line 46982. LaTeX Warning: Reference `cmd/abc_new:cmd-abc_new' on page 621 undefined on inp ut line 46983. LaTeX Warning: Reference `cmd/abstract:cmd-abstract' on page 621 undefined on i nput line 46984. LaTeX Warning: Reference `cmd/add:cmd-add' on page 621 undefined on input line 46985. LaTeX Warning: Reference `cmd/aigmap:cmd-aigmap' on page 621 undefined on input line 46986. LaTeX Warning: Reference `cmd/alumacc:cmd-alumacc' on page 621 undefined on inp ut line 46987. LaTeX Warning: Reference `cmd/anlogic_eqn:cmd-anlogic_eqn' on page 621 undefine d on input line 46988. LaTeX Warning: Reference `cmd/anlogic_fixcarry:cmd-anlogic_fixcarry' on page 62 1 undefined on input line 46989. LaTeX Warning: Reference `cmd/assertpmux:cmd-assertpmux' on page 621 undefined on input line 46990. LaTeX Warning: Reference `cmd/async2sync:cmd-async2sync' on page 621 undefined on input line 46991. LaTeX Warning: Reference `cmd/attrmap:cmd-attrmap' on page 621 undefined on inp ut line 46992. LaTeX Warning: Reference `cmd/attrmvcp:cmd-attrmvcp' on page 621 undefined on i nput line 46993. LaTeX Warning: Reference `cmd/autoname:cmd-autoname' on page 621 undefined on i nput line 46994. LaTeX Warning: Reference `cmd/blackbox:cmd-blackbox' on page 621 undefined on i nput line 46995. LaTeX Warning: Reference `cmd/bmuxmap:cmd-bmuxmap' on page 621 undefined on inp ut line 46996. LaTeX Warning: Reference `cmd/booth:cmd-booth' on page 621 undefined on input l ine 46997. LaTeX Warning: Reference `cmd/box_derive:cmd-box_derive' on page 621 undefined on input line 46998. LaTeX Warning: Reference `cmd/bufnorm:cmd-bufnorm' on page 621 undefined on inp ut line 46999. LaTeX Warning: Reference `cmd/bugpoint:cmd-bugpoint' on page 621 undefined on i nput line 47000. LaTeX Warning: Reference `cmd/bwmuxmap:cmd-bwmuxmap' on page 621 undefined on i nput line 47001. LaTeX Warning: Reference `cmd/cd:cmd-cd' on page 621 undefined on input line 47 002. LaTeX Warning: Reference `cmd/cellmatch:cmd-cellmatch' on page 621 undefined on input line 47003. LaTeX Warning: Reference `cmd/check:cmd-check' on page 621 undefined on input l ine 47004. LaTeX Warning: Reference `cmd/chformal:cmd-chformal' on page 621 undefined on i nput line 47005. LaTeX Warning: Reference `cmd/chparam:cmd-chparam' on page 621 undefined on inp ut line 47006. LaTeX Warning: Reference `cmd/chtype:cmd-chtype' on page 621 undefined on input line 47007. LaTeX Warning: Reference `cmd/clean:cmd-clean' on page 621 undefined on input l ine 47008. LaTeX Warning: Reference `cmd/clean_zerowidth:cmd-clean_zerowidth' on page 621 undefined on input line 47009. LaTeX Warning: Reference `cmd/clk2fflogic:cmd-clk2fflogic' on page 621 undefine d on input line 47010. LaTeX Warning: Reference `cmd/clkbufmap:cmd-clkbufmap' on page 621 undefined on input line 47011. LaTeX Warning: Reference `cmd/clockgate:cmd-clockgate' on page 621 undefined on input line 47012. LaTeX Warning: Reference `cmd/connect:cmd-connect' on page 621 undefined on inp ut line 47013. LaTeX Warning: Reference `cmd/connect_rpc:cmd-connect_rpc' on page 621 undefine d on input line 47014. LaTeX Warning: Reference `cmd/connwrappers:cmd-connwrappers' on page 621 undefi ned on input line 47015. LaTeX Warning: Reference `cmd/coolrunner2_fixup:cmd-coolrunner2_fixup' on page 621 undefined on input line 47016. LaTeX Warning: Reference `cmd/coolrunner2_sop:cmd-coolrunner2_sop' on page 621 undefined on input line 47017. LaTeX Warning: Reference `cmd/copy:cmd-copy' on page 621 undefined on input lin e 47018. LaTeX Warning: Reference `cmd/cover:cmd-cover' on page 621 undefined on input l ine 47019. LaTeX Warning: Reference `cmd/cutpoint:cmd-cutpoint' on page 621 undefined on i nput line 47020. LaTeX Warning: Reference `cmd/debug:cmd-debug' on page 621 undefined on input l ine 47021. LaTeX Warning: Reference `cmd/delete:cmd-delete' on page 621 undefined on input line 47022. LaTeX Warning: Reference `cmd/deminout:cmd-deminout' on page 621 undefined on i nput line 47023. LaTeX Warning: Reference `cmd/demuxmap:cmd-demuxmap' on page 621 undefined on i nput line 47024. LaTeX Warning: Reference `cmd/design:cmd-design' on page 621 undefined on input line 47025. LaTeX Warning: Reference `cmd/dffinit:cmd-dffinit' on page 621 undefined on inp ut line 47026. LaTeX Warning: Reference `cmd/dfflegalize:cmd-dfflegalize' on page 621 undefine d on input line 47027. LaTeX Warning: Reference `cmd/dfflibmap:cmd-dfflibmap' on page 621 undefined on input line 47028. LaTeX Warning: Reference `cmd/dffunmap:cmd-dffunmap' on page 621 undefined on i nput line 47029. LaTeX Warning: Reference `cmd/dft_tag:cmd-dft_tag' on page 621 undefined on inp ut line 47030. LaTeX Warning: Reference `cmd/dump:cmd-dump' on page 621 undefined on input lin e 47031. LaTeX Warning: Reference `cmd/echo:cmd-echo' on page 621 undefined on input lin e 47032. LaTeX Warning: Reference `cmd/edgetypes:cmd-edgetypes' on page 621 undefined on input line 47033. LaTeX Warning: Reference `cmd/efinix_fixcarry:cmd-efinix_fixcarry' on page 621 undefined on input line 47034. LaTeX Warning: Reference `cmd/equiv_add:cmd-equiv_add' on page 621 undefined on input line 47035. LaTeX Warning: Reference `cmd/equiv_induct:cmd-equiv_induct' on page 621 undefi ned on input line 47036. LaTeX Warning: Reference `cmd/equiv_make:cmd-equiv_make' on page 621 undefined on input line 47037. LaTeX Warning: Reference `cmd/equiv_mark:cmd-equiv_mark' on page 621 undefined on input line 47038. LaTeX Warning: Reference `cmd/equiv_miter:cmd-equiv_miter' on page 621 undefine d on input line 47039. LaTeX Warning: Reference `cmd/equiv_opt:cmd-equiv_opt' on page 621 undefined on input line 47040. LaTeX Warning: Reference `cmd/equiv_purge:cmd-equiv_purge' on page 621 undefine d on input line 47041. LaTeX Warning: Reference `cmd/equiv_remove:cmd-equiv_remove' on page 621 undefi ned on input line 47042. LaTeX Warning: Reference `cmd/equiv_simple:cmd-equiv_simple' on page 621 undefi ned on input line 47043. LaTeX Warning: Reference `cmd/equiv_status:cmd-equiv_status' on page 621 undefi ned on input line 47044. LaTeX Warning: Reference `cmd/equiv_struct:cmd-equiv_struct' on page 621 undefi ned on input line 47045. LaTeX Warning: Reference `cmd/eval:cmd-eval' on page 621 undefined on input lin e 47046. LaTeX Warning: Reference `cmd/example_dt:cmd-example_dt' on page 621 undefined on input line 47047. LaTeX Warning: Reference `cmd/exec:cmd-exec' on page 621 undefined on input lin e 47048. LaTeX Warning: Reference `cmd/expose:cmd-expose' on page 621 undefined on input line 47049. LaTeX Warning: Reference `cmd/extract:cmd-extract' on page 621 undefined on inp ut line 47050. LaTeX Warning: Reference `cmd/extract_counter:cmd-extract_counter' on page 621 undefined on input line 47051. LaTeX Warning: Reference `cmd/extract_fa:cmd-extract_fa' on page 621 undefined on input line 47052. LaTeX Warning: Reference `cmd/extract_reduce:cmd-extract_reduce' on page 621 un defined on input line 47053. LaTeX Warning: Reference `cmd/extractinv:cmd-extractinv' on page 621 undefined on input line 47054. LaTeX Warning: Reference `cmd/flatten:cmd-flatten' on page 621 undefined on inp ut line 47055. LaTeX Warning: Reference `cmd/flowmap:cmd-flowmap' on page 621 undefined on inp ut line 47056. LaTeX Warning: Reference `cmd/fmcombine:cmd-fmcombine' on page 621 undefined on input line 47057. LaTeX Warning: Reference `cmd/fminit:cmd-fminit' on page 621 undefined on input line 47058. LaTeX Warning: Reference `cmd/formalff:cmd-formalff' on page 621 undefined on i nput line 47059. LaTeX Warning: Reference `cmd/freduce:cmd-freduce' on page 621 undefined on inp ut line 47060. LaTeX Warning: Reference `cmd/fsm:cmd-fsm' on page 621 undefined on input line 47061. LaTeX Warning: Reference `cmd/fsm_detect:cmd-fsm_detect' on page 621 undefined on input line 47062. LaTeX Warning: Reference `cmd/fsm_expand:cmd-fsm_expand' on page 621 undefined on input line 47063. [621] LaTeX Warning: Reference `cmd/fsm_export:cmd-fsm_export' on page 622 undefined on input line 47064. LaTeX Warning: Reference `cmd/fsm_extract:cmd-fsm_extract' on page 622 undefine d on input line 47065. LaTeX Warning: Reference `cmd/fsm_info:cmd-fsm_info' on page 622 undefined on i nput line 47066. LaTeX Warning: Reference `cmd/fsm_map:cmd-fsm_map' on page 622 undefined on inp ut line 47067. LaTeX Warning: Reference `cmd/fsm_opt:cmd-fsm_opt' on page 622 undefined on inp ut line 47068. LaTeX Warning: Reference `cmd/fsm_recode:cmd-fsm_recode' on page 622 undefined on input line 47069. LaTeX Warning: Reference `cmd/fst2tb:cmd-fst2tb' on page 622 undefined on input line 47070. LaTeX Warning: Reference `cmd/future:cmd-future' on page 622 undefined on input line 47071. LaTeX Warning: Reference `cmd/gatemate_foldinv:cmd-gatemate_foldinv' on page 62 2 undefined on input line 47072. LaTeX Warning: Reference `cmd/glift:cmd-glift' on page 622 undefined on input l ine 47073. LaTeX Warning: Reference `cmd/greenpak4_dffinv:cmd-greenpak4_dffinv' on page 62 2 undefined on input line 47074. LaTeX Warning: Reference `cmd/help:cmd-help' on page 622 undefined on input lin e 47075. LaTeX Warning: Reference `cmd/hierarchy:cmd-hierarchy' on page 622 undefined on input line 47076. LaTeX Warning: Reference `cmd/hilomap:cmd-hilomap' on page 622 undefined on inp ut line 47077. LaTeX Warning: Reference `cmd/history:cmd-history' on page 622 undefined on inp ut line 47078. LaTeX Warning: Reference `cmd/ice40_braminit:cmd-ice40_braminit' on page 622 un defined on input line 47079. LaTeX Warning: Reference `cmd/ice40_dsp:cmd-ice40_dsp' on page 622 undefined on input line 47080. LaTeX Warning: Reference `cmd/ice40_opt:cmd-ice40_opt' on page 622 undefined on input line 47081. LaTeX Warning: Reference `cmd/ice40_wrapcarry:cmd-ice40_wrapcarry' on page 622 undefined on input line 47082. LaTeX Warning: Reference `cmd/insbuf:cmd-insbuf' on page 622 undefined on input line 47083. LaTeX Warning: Reference `cmd/internal_stats:cmd-internal_stats' on page 622 un defined on input line 47084. LaTeX Warning: Reference `cmd/iopadmap:cmd-iopadmap' on page 622 undefined on i nput line 47085. LaTeX Warning: Reference `cmd/jny:cmd-jny' on page 622 undefined on input line 47086. LaTeX Warning: Reference `cmd/json:cmd-json' on page 622 undefined on input lin e 47087. LaTeX Warning: Reference `cmd/keep_hierarchy:cmd-keep_hierarchy' on page 622 un defined on input line 47088. LaTeX Warning: Reference `cmd/lattice_gsr:cmd-lattice_gsr' on page 622 undefine d on input line 47089. LaTeX Warning: Reference `cmd/license:cmd-license' on page 622 undefined on inp ut line 47090. LaTeX Warning: Reference `cmd/log:cmd-log' on page 622 undefined on input line 47091. LaTeX Warning: Reference `cmd/logger:cmd-logger' on page 622 undefined on input line 47092. LaTeX Warning: Reference `cmd/ls:cmd-ls' on page 622 undefined on input line 47 093. LaTeX Warning: Reference `cmd/ltp:cmd-ltp' on page 622 undefined on input line 47094. LaTeX Warning: Reference `cmd/lut2mux:cmd-lut2mux' on page 622 undefined on inp ut line 47095. LaTeX Warning: Reference `cmd/maccmap:cmd-maccmap' on page 622 undefined on inp ut line 47096. LaTeX Warning: Reference `cmd/memory:cmd-memory' on page 622 undefined on input line 47097. LaTeX Warning: Reference `cmd/memory_bmux2rom:cmd-memory_bmux2rom' on page 622 undefined on input line 47098. LaTeX Warning: Reference `cmd/memory_bram:cmd-memory_bram' on page 622 undefine d on input line 47099. LaTeX Warning: Reference `cmd/memory_collect:cmd-memory_collect' on page 622 un defined on input line 47100. LaTeX Warning: Reference `cmd/memory_dff:cmd-memory_dff' on page 622 undefined on input line 47101. LaTeX Warning: Reference `cmd/memory_libmap:cmd-memory_libmap' on page 622 unde fined on input line 47102. LaTeX Warning: Reference `cmd/memory_map:cmd-memory_map' on page 622 undefined on input line 47103. LaTeX Warning: Reference `cmd/memory_memx:cmd-memory_memx' on page 622 undefine d on input line 47104. LaTeX Warning: Reference `cmd/memory_narrow:cmd-memory_narrow' on page 622 unde fined on input line 47105. LaTeX Warning: Reference `cmd/memory_nordff:cmd-memory_nordff' on page 622 unde fined on input line 47106. LaTeX Warning: Reference `cmd/memory_share:cmd-memory_share' on page 622 undefi ned on input line 47107. LaTeX Warning: Reference `cmd/memory_unpack:cmd-memory_unpack' on page 622 unde fined on input line 47108. LaTeX Warning: Reference `cmd/microchip_dffopt:cmd-microchip_dffopt' on page 62 2 undefined on input line 47109. LaTeX Warning: Reference `cmd/microchip_dsp:cmd-microchip_dsp' on page 622 unde fined on input line 47110. LaTeX Warning: Reference `cmd/miter:cmd-miter' on page 622 undefined on input l ine 47111. LaTeX Warning: Reference `cmd/mutate:cmd-mutate' on page 622 undefined on input line 47112. LaTeX Warning: Reference `cmd/muxcover:cmd-muxcover' on page 622 undefined on i nput line 47113. LaTeX Warning: Reference `cmd/muxpack:cmd-muxpack' on page 622 undefined on inp ut line 47114. LaTeX Warning: Reference `cmd/nlutmap:cmd-nlutmap' on page 622 undefined on inp ut line 47115. LaTeX Warning: Reference `cmd/nx_carry:cmd-nx_carry' on page 622 undefined on i nput line 47116. LaTeX Warning: Reference `cmd/onehot:cmd-onehot' on page 622 undefined on input line 47117. LaTeX Warning: Reference `cmd/opt:cmd-opt' on page 622 undefined on input line 47118. LaTeX Warning: Reference `cmd/opt_clean:cmd-opt_clean' on page 622 undefined on input line 47119. LaTeX Warning: Reference `cmd/opt_demorgan:cmd-opt_demorgan' on page 622 undefi ned on input line 47120. LaTeX Warning: Reference `cmd/opt_dff:cmd-opt_dff' on page 622 undefined on inp ut line 47121. LaTeX Warning: Reference `cmd/opt_expr:cmd-opt_expr' on page 622 undefined on i nput line 47122. LaTeX Warning: Reference `cmd/opt_ffinv:cmd-opt_ffinv' on page 622 undefined on input line 47123. LaTeX Warning: Reference `cmd/opt_lut:cmd-opt_lut' on page 622 undefined on inp ut line 47124. LaTeX Warning: Reference `cmd/opt_lut_ins:cmd-opt_lut_ins' on page 622 undefine d on input line 47125. LaTeX Warning: Reference `cmd/opt_mem:cmd-opt_mem' on page 622 undefined on inp ut line 47126. LaTeX Warning: Reference `cmd/opt_mem_feedback:cmd-opt_mem_feedback' on page 62 2 undefined on input line 47127. LaTeX Warning: Reference `cmd/opt_mem_priority:cmd-opt_mem_priority' on page 62 2 undefined on input line 47128. LaTeX Warning: Reference `cmd/opt_mem_widen:cmd-opt_mem_widen' on page 622 unde fined on input line 47129. LaTeX Warning: Reference `cmd/opt_merge:cmd-opt_merge' on page 622 undefined on input line 47130. LaTeX Warning: Reference `cmd/opt_muxtree:cmd-opt_muxtree' on page 622 undefine d on input line 47131. LaTeX Warning: Reference `cmd/opt_reduce:cmd-opt_reduce' on page 622 undefined on input line 47132. LaTeX Warning: Reference `cmd/opt_share:cmd-opt_share' on page 622 undefined on input line 47133. LaTeX Warning: Reference `cmd/paramap:cmd-paramap' on page 622 undefined on inp ut line 47134. LaTeX Warning: Reference `cmd/peepopt:cmd-peepopt' on page 622 undefined on inp ut line 47135. LaTeX Warning: Reference `cmd/plugin:cmd-plugin' on page 622 undefined on input line 47136. LaTeX Warning: Reference `cmd/pmux2shiftx:cmd-pmux2shiftx' on page 622 undefine d on input line 47137. LaTeX Warning: Reference `cmd/pmuxtree:cmd-pmuxtree' on page 622 undefined on i nput line 47138. LaTeX Warning: Reference `cmd/portarcs:cmd-portarcs' on page 622 undefined on i nput line 47139. LaTeX Warning: Reference `cmd/portlist:cmd-portlist' on page 622 undefined on i nput line 47140. LaTeX Warning: Reference `cmd/prep:cmd-prep' on page 622 undefined on input lin e 47141. LaTeX Warning: Reference `cmd/printattrs:cmd-printattrs' on page 622 undefined on input line 47142. LaTeX Warning: Reference `cmd/proc:cmd-proc' on page 622 undefined on input lin e 47143. LaTeX Warning: Reference `cmd/proc_arst:cmd-proc_arst' on page 622 undefined on input line 47144. LaTeX Warning: Reference `cmd/proc_clean:cmd-proc_clean' on page 622 undefined on input line 47145. LaTeX Warning: Reference `cmd/proc_dff:cmd-proc_dff' on page 622 undefined on i nput line 47146. LaTeX Warning: Reference `cmd/proc_dlatch:cmd-proc_dlatch' on page 622 undefine d on input line 47147. LaTeX Warning: Reference `cmd/proc_init:cmd-proc_init' on page 622 undefined on input line 47148. LaTeX Warning: Reference `cmd/proc_memwr:cmd-proc_memwr' on page 622 undefined on input line 47149. LaTeX Warning: Reference `cmd/proc_mux:cmd-proc_mux' on page 622 undefined on i nput line 47150. LaTeX Warning: Reference `cmd/proc_prune:cmd-proc_prune' on page 622 undefined on input line 47151. LaTeX Warning: Reference `cmd/proc_rmdead:cmd-proc_rmdead' on page 622 undefine d on input line 47152. LaTeX Warning: Reference `cmd/proc_rom:cmd-proc_rom' on page 622 undefined on i nput line 47153. LaTeX Warning: Reference `cmd/qbfsat:cmd-qbfsat' on page 622 undefined on input line 47154. LaTeX Warning: Reference `cmd/ql_bram_merge:cmd-ql_bram_merge' on page 622 unde fined on input line 47155. LaTeX Warning: Reference `cmd/ql_bram_types:cmd-ql_bram_types' on page 622 unde fined on input line 47156. LaTeX Warning: Reference `cmd/ql_dsp_io_regs:cmd-ql_dsp_io_regs' on page 622 un defined on input line 47157. LaTeX Warning: Reference `cmd/ql_dsp_macc:cmd-ql_dsp_macc' on page 622 undefine d on input line 47158. LaTeX Warning: Reference `cmd/ql_dsp_simd:cmd-ql_dsp_simd' on page 622 undefine d on input line 47159. LaTeX Warning: Reference `cmd/ql_ioff:cmd-ql_ioff' on page 622 undefined on inp ut line 47160. LaTeX Warning: Reference `cmd/read:cmd-read' on page 622 undefined on input lin e 47161. LaTeX Warning: Reference `cmd/read_aiger:cmd-read_aiger' on page 622 undefined on input line 47162. LaTeX Warning: Reference `cmd/read_blif:cmd-read_blif' on page 622 undefined on input line 47163. LaTeX Warning: Reference `cmd/read_json:cmd-read_json' on page 622 undefined on input line 47164. LaTeX Warning: Reference `cmd/read_liberty:cmd-read_liberty' on page 622 undefi ned on input line 47165. LaTeX Warning: Reference `cmd/read_rtlil:cmd-read_rtlil' on page 622 undefined on input line 47166. LaTeX Warning: Reference `cmd/read_verilog:cmd-read_verilog' on page 622 undefi ned on input line 47167. LaTeX Warning: Reference `cmd/read_xaiger2:cmd-read_xaiger2' on page 622 undefi ned on input line 47168. LaTeX Warning: Reference `cmd/recover_names:cmd-recover_names' on page 622 unde fined on input line 47169. LaTeX Warning: Reference `cmd/rename:cmd-rename' on page 622 undefined on input line 47170. LaTeX Warning: Reference `cmd/rmports:cmd-rmports' on page 622 undefined on inp ut line 47171. [622] LaTeX Warning: Reference `cmd/sat:cmd-sat' on page 623 undefined on input line 47172. LaTeX Warning: Reference `cmd/scatter:cmd-scatter' on page 623 undefined on inp ut line 47173. LaTeX Warning: Reference `cmd/scc:cmd-scc' on page 623 undefined on input line 47174. LaTeX Warning: Reference `cmd/scratchpad:cmd-scratchpad' on page 623 undefined on input line 47175. LaTeX Warning: Reference `cmd/script:cmd-script' on page 623 undefined on input line 47176. LaTeX Warning: Reference `cmd/select:cmd-select' on page 623 undefined on input line 47177. LaTeX Warning: Reference `cmd/setattr:cmd-setattr' on page 623 undefined on inp ut line 47178. LaTeX Warning: Reference `cmd/setenv:cmd-setenv' on page 623 undefined on input line 47179. LaTeX Warning: Reference `cmd/setparam:cmd-setparam' on page 623 undefined on i nput line 47180. LaTeX Warning: Reference `cmd/setundef:cmd-setundef' on page 623 undefined on i nput line 47181. LaTeX Warning: Reference `cmd/share:cmd-share' on page 623 undefined on input l ine 47182. LaTeX Warning: Reference `cmd/shell:cmd-shell' on page 623 undefined on input l ine 47183. LaTeX Warning: Reference `cmd/show:cmd-show' on page 623 undefined on input lin e 47184. LaTeX Warning: Reference `cmd/shregmap:cmd-shregmap' on page 623 undefined on i nput line 47185. LaTeX Warning: Reference `cmd/sim:cmd-sim' on page 623 undefined on input line 47186. LaTeX Warning: Reference `cmd/simplemap:cmd-simplemap' on page 623 undefined on input line 47187. LaTeX Warning: Reference `cmd/splice:cmd-splice' on page 623 undefined on input line 47188. LaTeX Warning: Reference `cmd/splitcells:cmd-splitcells' on page 623 undefined on input line 47189. LaTeX Warning: Reference `cmd/splitnets:cmd-splitnets' on page 623 undefined on input line 47190. LaTeX Warning: Reference `cmd/sta:cmd-sta' on page 623 undefined on input line 47191. LaTeX Warning: Reference `cmd/stat:cmd-stat' on page 623 undefined on input lin e 47192. LaTeX Warning: Reference `cmd/submod:cmd-submod' on page 623 undefined on input line 47193. LaTeX Warning: Reference `cmd/supercover:cmd-supercover' on page 623 undefined on input line 47194. LaTeX Warning: Reference `cmd/synth:cmd-synth' on page 623 undefined on input l ine 47195. LaTeX Warning: Reference `cmd/synth_achronix:cmd-synth_achronix' on page 623 un defined on input line 47196. LaTeX Warning: Reference `cmd/synth_anlogic:cmd-synth_anlogic' on page 623 unde fined on input line 47197. LaTeX Warning: Reference `cmd/synth_coolrunner2:cmd-synth_coolrunner2' on page 623 undefined on input line 47198. LaTeX Warning: Reference `cmd/synth_easic:cmd-synth_easic' on page 623 undefine d on input line 47199. LaTeX Warning: Reference `cmd/synth_ecp5:cmd-synth_ecp5' on page 623 undefined on input line 47200. LaTeX Warning: Reference `cmd/synth_efinix:cmd-synth_efinix' on page 623 undefi ned on input line 47201. LaTeX Warning: Reference `cmd/synth_fabulous:cmd-synth_fabulous' on page 623 un defined on input line 47202. LaTeX Warning: Reference `cmd/synth_gatemate:cmd-synth_gatemate' on page 623 un defined on input line 47203. LaTeX Warning: Reference `cmd/synth_gowin:cmd-synth_gowin' on page 623 undefine d on input line 47204. LaTeX Warning: Reference `cmd/synth_greenpak4:cmd-synth_greenpak4' on page 623 undefined on input line 47205. LaTeX Warning: Reference `cmd/synth_ice40:cmd-synth_ice40' on page 623 undefine d on input line 47206. LaTeX Warning: Reference `cmd/synth_intel:cmd-synth_intel' on page 623 undefine d on input line 47207. LaTeX Warning: Reference `cmd/synth_intel_alm:cmd-synth_intel_alm' on page 623 undefined on input line 47208. LaTeX Warning: Reference `cmd/synth_lattice:cmd-synth_lattice' on page 623 unde fined on input line 47209. LaTeX Warning: Reference `cmd/synth_microchip:cmd-synth_microchip' on page 623 undefined on input line 47210. LaTeX Warning: Reference `cmd/synth_nanoxplore:cmd-synth_nanoxplore' on page 62 3 undefined on input line 47211. LaTeX Warning: Reference `cmd/synth_nexus:cmd-synth_nexus' on page 623 undefine d on input line 47212. LaTeX Warning: Reference `cmd/synth_quicklogic:cmd-synth_quicklogic' on page 62 3 undefined on input line 47213. LaTeX Warning: Reference `cmd/synth_sf2:cmd-synth_sf2' on page 623 undefined on input line 47214. LaTeX Warning: Reference `cmd/synth_xilinx:cmd-synth_xilinx' on page 623 undefi ned on input line 47215. LaTeX Warning: Reference `cmd/synthprop:cmd-synthprop' on page 623 undefined on input line 47216. LaTeX Warning: Reference `cmd/tcl:cmd-tcl' on page 623 undefined on input line 47217. LaTeX Warning: Reference `cmd/techmap:cmd-techmap' on page 623 undefined on inp ut line 47218. LaTeX Warning: Reference `cmd/tee:cmd-tee' on page 623 undefined on input line 47219. LaTeX Warning: Reference `cmd/test_abcloop:cmd-test_abcloop' on page 623 undefi ned on input line 47220. LaTeX Warning: Reference `cmd/test_autotb:cmd-test_autotb' on page 623 undefine d on input line 47221. LaTeX Warning: Reference `cmd/test_cell:cmd-test_cell' on page 623 undefined on input line 47222. LaTeX Warning: Reference `cmd/test_generic:cmd-test_generic' on page 623 undefi ned on input line 47223. LaTeX Warning: Reference `cmd/test_pmgen:cmd-test_pmgen' on page 623 undefined on input line 47224. LaTeX Warning: Reference `cmd/torder:cmd-torder' on page 623 undefined on input line 47225. LaTeX Warning: Reference `cmd/trace:cmd-trace' on page 623 undefined on input l ine 47226. LaTeX Warning: Reference `cmd/tribuf:cmd-tribuf' on page 623 undefined on input line 47227. LaTeX Warning: Reference `cmd/uniquify:cmd-uniquify' on page 623 undefined on i nput line 47228. LaTeX Warning: Reference `cmd/verific:cmd-verific' on page 623 undefined on inp ut line 47229. LaTeX Warning: Reference `cmd/verilog_defaults:cmd-verilog_defaults' on page 62 3 undefined on input line 47230. LaTeX Warning: Reference `cmd/verilog_defines:cmd-verilog_defines' on page 623 undefined on input line 47231. LaTeX Warning: Reference `cmd/viz:cmd-viz' on page 623 undefined on input line 47232. LaTeX Warning: Reference `cmd/wbflip:cmd-wbflip' on page 623 undefined on input line 47233. LaTeX Warning: Reference `cmd/wrapcell:cmd-wrapcell' on page 623 undefined on i nput line 47234. LaTeX Warning: Reference `cmd/wreduce:cmd-wreduce' on page 623 undefined on inp ut line 47235. LaTeX Warning: Reference `cmd/write_aiger:cmd-write_aiger' on page 623 undefine d on input line 47236. LaTeX Warning: Reference `cmd/write_aiger2:cmd-write_aiger2' on page 623 undefi ned on input line 47237. LaTeX Warning: Reference `cmd/write_blif:cmd-write_blif' on page 623 undefined on input line 47238. LaTeX Warning: Reference `cmd/write_btor:cmd-write_btor' on page 623 undefined on input line 47239. LaTeX Warning: Reference `cmd/write_cxxrtl:cmd-write_cxxrtl' on page 623 undefi ned on input line 47240. LaTeX Warning: Reference `cmd/write_edif:cmd-write_edif' on page 623 undefined on input line 47241. LaTeX Warning: Reference `cmd/write_file:cmd-write_file' on page 623 undefined on input line 47242. LaTeX Warning: Reference `cmd/write_firrtl:cmd-write_firrtl' on page 623 undefi ned on input line 47243. LaTeX Warning: Reference `cmd/write_functional_cxx:cmd-write_functional_cxx' on page 623 undefined on input line 47244. Underfull \hbox (badness 10000) in paragraph at lines 47244--47245 []\T1/lmtt/m/n/10 write_functional_cxx LaTeX Warning: Reference `cmd/write_functional_rosette:cmd-write_functional_ros ette' on page 623 undefined on input line 47245. Underfull \hbox (badness 10000) in paragraph at lines 47245--47246 []\T1/lmtt/m/n/10 write_functional_rosette LaTeX Warning: Reference `cmd/write_functional_smt2:cmd-write_functional_smt2' on page 623 undefined on input line 47246. Underfull \hbox (badness 10000) in paragraph at lines 47246--47247 []\T1/lmtt/m/n/10 write_functional_smt2 LaTeX Warning: Reference `cmd/write_intersynth:cmd-write_intersynth' on page 62 3 undefined on input line 47247. LaTeX Warning: Reference `cmd/write_jny:cmd-write_jny' on page 623 undefined on input line 47248. LaTeX Warning: Reference `cmd/write_json:cmd-write_json' on page 623 undefined on input line 47249. LaTeX Warning: Reference `cmd/write_rtlil:cmd-write_rtlil' on page 623 undefine d on input line 47250. LaTeX Warning: Reference `cmd/write_simplec:cmd-write_simplec' on page 623 unde fined on input line 47251. LaTeX Warning: Reference `cmd/write_smt2:cmd-write_smt2' on page 623 undefined on input line 47252. LaTeX Warning: Reference `cmd/write_smv:cmd-write_smv' on page 623 undefined on input line 47253. LaTeX Warning: Reference `cmd/write_spice:cmd-write_spice' on page 623 undefine d on input line 47254. LaTeX Warning: Reference `cmd/write_table:cmd-write_table' on page 623 undefine d on input line 47255. LaTeX Warning: Reference `cmd/write_verilog:cmd-write_verilog' on page 623 unde fined on input line 47256. LaTeX Warning: Reference `cmd/write_xaiger:cmd-write_xaiger' on page 623 undefi ned on input line 47257. LaTeX Warning: Reference `cmd/write_xaiger2:cmd-write_xaiger2' on page 623 unde fined on input line 47258. LaTeX Warning: Reference `cmd/xilinx_dffopt:cmd-xilinx_dffopt' on page 623 unde fined on input line 47259. LaTeX Warning: Reference `cmd/xilinx_dsp:cmd-xilinx_dsp' on page 623 undefined on input line 47260. LaTeX Warning: Reference `cmd/xilinx_srl:cmd-xilinx_srl' on page 623 undefined on input line 47261. LaTeX Warning: Reference `cmd/xprop:cmd-xprop' on page 623 undefined on input l ine 47262. LaTeX Warning: Reference `cmd/zinit:cmd-zinit' on page 623 undefined on input l ine 47263. [623] No file yosyshqyosys.ind. Package longtable Warning: Table widths have changed. Rerun LaTeX. (./yosyshqyosys.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. Package rerunfilecheck Warning: File `yosyshqyosys.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information) Output written on yosyshqyosys.pdf (627 pages, 2886969 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' Latexmk: Examining 'yosyshqyosys.log' Latexmk: Index file 'yosyshqyosys.idx' was written Latexmk: Missing input file 'yosyshqyosys.toc' (or dependence on it) from following: No file yosyshqyosys.toc. Latexmk: Missing input file 'yosyshqyosys.ind' (or dependence on it) from following: No file yosyshqyosys.ind. Latexmk: References changed. Latexmk: References changed. Latexmk: Log file says output to 'yosyshqyosys.pdf' Have index file 'yosyshqyosys.idx', yosyshqyosys.ind yosyshqyosys Latexmk: applying rule 'makeindex yosyshqyosys.idx'... Rule 'makeindex yosyshqyosys.idx': Reasons for rerun Category 'other': Rerun of 'makeindex yosyshqyosys.idx' forced or previously required: Reason or flag: 'Initial set up of rule' ------------ Run number 1 of rule 'makeindex yosyshqyosys.idx' ------------ ------------ Running 'makeindex -s python.ist -o "yosyshqyosys.ind" "yosyshqyosys.idx"' ------------ This is makeindex, version 2.17 [TeX Live 2025/dev] (kpathsea + Thai support). Scanning style file ./python.ist.......done (7 attributes redefined, 0 ignored). Scanning input file yosyshqyosys.idx...done (0 entries accepted, 0 rejected). Nothing written in yosyshqyosys.ind. Transcript written in yosyshqyosys.ilg. Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Changed files or newly in use/created: yosyshqyosys.aux yosyshqyosys.ind yosyshqyosys.out yosyshqyosys.toc ------------ Run number 2 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "yosyshqyosys.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./yosyshqyosys.tex LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) (/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty) (./sphinxpackagefootnote.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/generic/stringenc/stringenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hypcap/hypcap.sty (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty Excluding comment 'comment') Writing index file yosyshqyosys.idx (/usr/share/texmf/tex/latex/lm/t1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./yosyshqyosys.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/fontawesome5.sty (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/expl3.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3packages/l3keys2e/l3keys2e.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3packages/xparse/xparse.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/fontawesome5-generic-help er.sty (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/fontawesome5-mapping.def) )) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (./yosyshqyosys.out) (./yosyshqyosys.out) (/usr/share/texmf/tex/latex/lm/t1lmss.fd) (/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<> (/usr/share/texmf/tex/latex/lm/omllmm.fd)<> (/usr/share/texmf/tex/latex/lm/omslmsy.fd)<> (/usr/share/texmf/tex/latex/lm/omxlmex.fd)<> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/en c/dvips/lm/lm-ec.enc}] [2] (./yosyshqyosys.toc [1] [2] [3] [4] [5] Overfull \hbox (1.7777pt too wide) detected at line 425 \T1/lmr/m/n/10 10.100 Overfull \hbox (1.7777pt too wide) detected at line 426 \T1/lmr/m/n/10 10.101 Overfull \hbox (1.7777pt too wide) detected at line 427 \T1/lmr/m/n/10 10.102 Overfull \hbox (1.7777pt too wide) detected at line 428 \T1/lmr/m/n/10 10.103 Overfull \hbox (1.7777pt too wide) detected at line 429 \T1/lmr/m/n/10 10.104 Overfull \hbox (1.7777pt too wide) detected at line 430 \T1/lmr/m/n/10 10.105 Overfull \hbox (1.7777pt too wide) detected at line 431 \T1/lmr/m/n/10 10.106 Overfull \hbox (1.7777pt too wide) detected at line 432 \T1/lmr/m/n/10 10.107 Overfull \hbox (1.7777pt too wide) detected at line 433 \T1/lmr/m/n/10 10.108 Overfull \hbox (1.7777pt too wide) detected at line 434 \T1/lmr/m/n/10 10.109 Overfull \hbox (1.7777pt too wide) detected at line 435 \T1/lmr/m/n/10 10.110 Overfull \hbox (1.7777pt too wide) detected at line 436 \T1/lmr/m/n/10 10.111 Overfull \hbox (1.7777pt too wide) detected at line 437 \T1/lmr/m/n/10 10.112 Overfull \hbox (1.7777pt too wide) detected at line 438 \T1/lmr/m/n/10 10.113 Overfull \hbox (1.7777pt too wide) detected at line 439 \T1/lmr/m/n/10 10.114 Overfull \hbox (1.7777pt too wide) detected at line 440 \T1/lmr/m/n/10 10.115 Overfull \hbox (1.7777pt too wide) detected at line 441 \T1/lmr/m/n/10 10.116 Overfull \hbox (1.7777pt too wide) detected at line 442 \T1/lmr/m/n/10 10.117 Overfull \hbox (1.7777pt too wide) detected at line 443 \T1/lmr/m/n/10 10.118 Overfull \hbox (1.7777pt too wide) detected at line 444 \T1/lmr/m/n/10 10.119 Overfull \hbox (1.7777pt too wide) detected at line 445 \T1/lmr/m/n/10 10.120 Overfull \hbox (1.7777pt too wide) detected at line 446 \T1/lmr/m/n/10 10.121 Overfull \hbox (1.7777pt too wide) detected at line 447 \T1/lmr/m/n/10 10.122 Overfull \hbox (1.7777pt too wide) detected at line 448 \T1/lmr/m/n/10 10.123 Overfull \hbox (1.7777pt too wide) detected at line 449 \T1/lmr/m/n/10 10.124 Overfull \hbox (1.7777pt too wide) detected at line 450 \T1/lmr/m/n/10 10.125 Overfull \hbox (1.7777pt too wide) detected at line 451 \T1/lmr/m/n/10 10.126 Overfull \hbox (1.7777pt too wide) detected at line 452 \T1/lmr/m/n/10 10.127 Overfull \hbox (1.7777pt too wide) detected at line 453 \T1/lmr/m/n/10 10.128 Overfull \hbox (1.7777pt too wide) detected at line 454 \T1/lmr/m/n/10 10.129 Overfull \hbox (1.7777pt too wide) detected at line 455 \T1/lmr/m/n/10 10.130 Overfull \hbox (1.7777pt too wide) detected at line 456 \T1/lmr/m/n/10 10.131 Overfull \hbox (1.7777pt too wide) detected at line 457 \T1/lmr/m/n/10 10.132 Overfull \hbox (1.7777pt too wide) detected at line 458 \T1/lmr/m/n/10 10.133 Overfull \hbox (1.7777pt too wide) detected at line 459 \T1/lmr/m/n/10 10.134 Overfull \hbox (1.7777pt too wide) detected at line 460 \T1/lmr/m/n/10 10.135 Overfull \hbox (1.7777pt too wide) detected at line 461 \T1/lmr/m/n/10 10.136 Overfull \hbox (1.7777pt too wide) detected at line 462 \T1/lmr/m/n/10 10.137 Overfull \hbox (1.7777pt too wide) detected at line 463 \T1/lmr/m/n/10 10.138 Overfull \hbox (1.7777pt too wide) detected at line 464 \T1/lmr/m/n/10 10.139 Overfull \hbox (1.7777pt too wide) detected at line 465 \T1/lmr/m/n/10 10.140 Overfull \hbox (1.7777pt too wide) detected at line 466 \T1/lmr/m/n/10 10.141 Overfull \hbox (1.7777pt too wide) detected at line 467 \T1/lmr/m/n/10 10.142 Overfull \hbox (1.7777pt too wide) detected at line 468 \T1/lmr/m/n/10 10.143 Overfull \hbox (1.7777pt too wide) detected at line 469 \T1/lmr/m/n/10 10.144 Overfull \hbox (1.7777pt too wide) detected at line 470 \T1/lmr/m/n/10 10.145 Overfull \hbox (1.7777pt too wide) detected at line 471 \T1/lmr/m/n/10 10.146 Overfull \hbox (1.7777pt too wide) detected at line 472 \T1/lmr/m/n/10 10.147 Overfull \hbox (1.7777pt too wide) detected at line 473 \T1/lmr/m/n/10 10.148 Overfull \hbox (1.7777pt too wide) detected at line 474 \T1/lmr/m/n/10 10.149 Overfull \hbox (1.7777pt too wide) detected at line 475 \T1/lmr/m/n/10 10.150 Overfull \hbox (1.7777pt too wide) detected at line 476 \T1/lmr/m/n/10 10.151 [6] Overfull \hbox (1.7777pt too wide) detected at line 477 \T1/lmr/m/n/10 10.152 Overfull \hbox (1.7777pt too wide) detected at line 478 \T1/lmr/m/n/10 10.153 Overfull \hbox (1.7777pt too wide) detected at line 479 \T1/lmr/m/n/10 10.154 Overfull \hbox (1.7777pt too wide) detected at line 480 \T1/lmr/m/n/10 10.155 Overfull \hbox (1.7777pt too wide) detected at line 481 \T1/lmr/m/n/10 10.156 Overfull \hbox (1.7777pt too wide) detected at line 482 \T1/lmr/m/n/10 10.157 Overfull \hbox (1.7777pt too wide) detected at line 483 \T1/lmr/m/n/10 10.158 Overfull \hbox (1.7777pt too wide) detected at line 484 \T1/lmr/m/n/10 10.159 Overfull \hbox (1.7777pt too wide) detected at line 485 \T1/lmr/m/n/10 10.160 Overfull \hbox (1.7777pt too wide) detected at line 486 \T1/lmr/m/n/10 10.161 Overfull \hbox (1.7777pt too wide) detected at line 487 \T1/lmr/m/n/10 10.162 Overfull \hbox (1.7777pt too wide) detected at line 488 \T1/lmr/m/n/10 10.163 Overfull \hbox (1.7777pt too wide) detected at line 489 \T1/lmr/m/n/10 10.164 Overfull \hbox (1.7777pt too wide) detected at line 490 \T1/lmr/m/n/10 10.165 Overfull \hbox (1.7777pt too wide) detected at line 491 \T1/lmr/m/n/10 10.166 Overfull \hbox (1.7777pt too wide) detected at line 492 \T1/lmr/m/n/10 10.167 Overfull \hbox (1.7777pt too wide) detected at line 493 \T1/lmr/m/n/10 10.168 Overfull \hbox (1.7777pt too wide) detected at line 494 \T1/lmr/m/n/10 10.169 Overfull \hbox (1.7777pt too wide) detected at line 495 \T1/lmr/m/n/10 10.170 Overfull \hbox (1.7777pt too wide) detected at line 496 \T1/lmr/m/n/10 10.171 Overfull \hbox (1.7777pt too wide) detected at line 497 \T1/lmr/m/n/10 10.172 Overfull \hbox (1.7777pt too wide) detected at line 498 \T1/lmr/m/n/10 10.173 Overfull \hbox (1.7777pt too wide) detected at line 499 \T1/lmr/m/n/10 10.174 Overfull \hbox (1.7777pt too wide) detected at line 500 \T1/lmr/m/n/10 10.175 Overfull \hbox (1.7777pt too wide) detected at line 501 \T1/lmr/m/n/10 10.176 Overfull \hbox (1.7777pt too wide) detected at line 502 \T1/lmr/m/n/10 10.177 Overfull \hbox (1.7777pt too wide) detected at line 503 \T1/lmr/m/n/10 10.178 Overfull \hbox (1.7777pt too wide) detected at line 504 \T1/lmr/m/n/10 10.179 Overfull \hbox (1.7777pt too wide) detected at line 505 \T1/lmr/m/n/10 10.180 Overfull \hbox (1.7777pt too wide) detected at line 506 \T1/lmr/m/n/10 10.181 Overfull \hbox (1.7777pt too wide) detected at line 507 \T1/lmr/m/n/10 10.182 Overfull \hbox (1.7777pt too wide) detected at line 508 \T1/lmr/m/n/10 10.183 Overfull \hbox (1.7777pt too wide) detected at line 509 \T1/lmr/m/n/10 10.184 Overfull \hbox (1.7777pt too wide) detected at line 510 \T1/lmr/m/n/10 10.185 Overfull \hbox (1.7777pt too wide) detected at line 511 \T1/lmr/m/n/10 10.186 Overfull \hbox (1.7777pt too wide) detected at line 512 \T1/lmr/m/n/10 10.187 Overfull \hbox (1.7777pt too wide) detected at line 513 \T1/lmr/m/n/10 10.188 Overfull \hbox (1.7777pt too wide) detected at line 514 \T1/lmr/m/n/10 10.189 Overfull \hbox (1.7777pt too wide) detected at line 515 \T1/lmr/m/n/10 10.190 Overfull \hbox (1.7777pt too wide) detected at line 516 \T1/lmr/m/n/10 10.191 Overfull \hbox (1.7777pt too wide) detected at line 517 \T1/lmr/m/n/10 10.192 Overfull \hbox (1.7777pt too wide) detected at line 518 \T1/lmr/m/n/10 10.193 Overfull \hbox (1.7777pt too wide) detected at line 519 \T1/lmr/m/n/10 10.194 Overfull \hbox (1.7777pt too wide) detected at line 520 \T1/lmr/m/n/10 10.195 Overfull \hbox (1.7777pt too wide) detected at line 521 \T1/lmr/m/n/10 10.196 Overfull \hbox (1.7777pt too wide) detected at line 522 \T1/lmr/m/n/10 10.197 Overfull \hbox (1.7777pt too wide) detected at line 523 \T1/lmr/m/n/10 10.198 Overfull \hbox (1.7777pt too wide) detected at line 524 \T1/lmr/m/n/10 10.199 Overfull \hbox (1.7777pt too wide) detected at line 525 \T1/lmr/m/n/10 10.200 Overfull \hbox (1.7777pt too wide) detected at line 526 \T1/lmr/m/n/10 10.201 Overfull \hbox (1.7777pt too wide) detected at line 527 \T1/lmr/m/n/10 10.202 Overfull \hbox (1.7777pt too wide) detected at line 528 \T1/lmr/m/n/10 10.203 Overfull \hbox (1.7777pt too wide) detected at line 529 \T1/lmr/m/n/10 10.204 Overfull \hbox (1.7777pt too wide) detected at line 530 \T1/lmr/m/n/10 10.205 [7] Overfull \hbox (1.7777pt too wide) detected at line 531 \T1/lmr/m/n/10 10.206 Overfull \hbox (1.7777pt too wide) detected at line 532 \T1/lmr/m/n/10 10.207 Overfull \hbox (1.7777pt too wide) detected at line 533 \T1/lmr/m/n/10 10.208 Overfull \hbox (1.7777pt too wide) detected at line 534 \T1/lmr/m/n/10 10.209 Overfull \hbox (1.7777pt too wide) detected at line 535 \T1/lmr/m/n/10 10.210 Overfull \hbox (1.7777pt too wide) detected at line 536 \T1/lmr/m/n/10 10.211 Overfull \hbox (1.7777pt too wide) detected at line 537 \T1/lmr/m/n/10 10.212 Overfull \hbox (1.7777pt too wide) detected at line 538 \T1/lmr/m/n/10 10.213 Overfull \hbox (1.7777pt too wide) detected at line 539 \T1/lmr/m/n/10 10.214 Overfull \hbox (1.7777pt too wide) detected at line 540 \T1/lmr/m/n/10 10.215 Overfull \hbox (1.7777pt too wide) detected at line 541 \T1/lmr/m/n/10 10.216 Overfull \hbox (1.7777pt too wide) detected at line 542 \T1/lmr/m/n/10 10.217 Overfull \hbox (1.7777pt too wide) detected at line 543 \T1/lmr/m/n/10 10.218 Overfull \hbox (1.7777pt too wide) detected at line 544 \T1/lmr/m/n/10 10.219 Overfull \hbox (1.7777pt too wide) detected at line 545 \T1/lmr/m/n/10 10.220 Overfull \hbox (1.7777pt too wide) detected at line 546 \T1/lmr/m/n/10 10.221 Overfull \hbox (1.7777pt too wide) detected at line 547 \T1/lmr/m/n/10 10.222 Overfull \hbox (1.7777pt too wide) detected at line 548 \T1/lmr/m/n/10 10.223 Overfull \hbox (1.7777pt too wide) detected at line 549 \T1/lmr/m/n/10 10.224 Overfull \hbox (1.7777pt too wide) detected at line 550 \T1/lmr/m/n/10 10.225 Overfull \hbox (1.7777pt too wide) detected at line 551 \T1/lmr/m/n/10 10.226 Overfull \hbox (1.7777pt too wide) detected at line 552 \T1/lmr/m/n/10 10.227 Overfull \hbox (1.7777pt too wide) detected at line 553 \T1/lmr/m/n/10 10.228 Overfull \hbox (1.7777pt too wide) detected at line 554 \T1/lmr/m/n/10 10.229 Overfull \hbox (1.7777pt too wide) detected at line 555 \T1/lmr/m/n/10 10.230 Overfull \hbox (1.7777pt too wide) detected at line 556 \T1/lmr/m/n/10 10.231 Overfull \hbox (1.7777pt too wide) detected at line 557 \T1/lmr/m/n/10 10.232 Overfull \hbox (1.7777pt too wide) detected at line 558 \T1/lmr/m/n/10 10.233 Overfull \hbox (1.7777pt too wide) detected at line 559 \T1/lmr/m/n/10 10.234 Overfull \hbox (1.7777pt too wide) detected at line 560 \T1/lmr/m/n/10 10.235 Overfull \hbox (1.7777pt too wide) detected at line 561 \T1/lmr/m/n/10 10.236 Overfull \hbox (1.7777pt too wide) detected at line 562 \T1/lmr/m/n/10 10.237 Overfull \hbox (1.7777pt too wide) detected at line 563 \T1/lmr/m/n/10 10.238 Overfull \hbox (1.7777pt too wide) detected at line 564 \T1/lmr/m/n/10 10.239 Overfull \hbox (1.7777pt too wide) detected at line 565 \T1/lmr/m/n/10 10.240 Overfull \hbox (1.7777pt too wide) detected at line 566 \T1/lmr/m/n/10 10.241 Overfull \hbox (1.7777pt too wide) detected at line 567 \T1/lmr/m/n/10 10.242 Overfull \hbox (1.7777pt too wide) detected at line 568 \T1/lmr/m/n/10 10.243 Overfull \hbox (1.7777pt too wide) detected at line 569 \T1/lmr/m/n/10 10.244 Overfull \hbox (1.7777pt too wide) detected at line 570 \T1/lmr/m/n/10 10.245 Overfull \hbox (1.7777pt too wide) detected at line 571 \T1/lmr/m/n/10 10.246 Overfull \hbox (1.7777pt too wide) detected at line 572 \T1/lmr/m/n/10 10.247 Overfull \hbox (1.7777pt too wide) detected at line 573 \T1/lmr/m/n/10 10.248 Overfull \hbox (1.7777pt too wide) detected at line 574 \T1/lmr/m/n/10 10.249 Overfull \hbox (1.7777pt too wide) detected at line 575 \T1/lmr/m/n/10 10.250 Overfull \hbox (1.7777pt too wide) detected at line 576 \T1/lmr/m/n/10 10.251 Overfull \hbox (1.7777pt too wide) detected at line 577 \T1/lmr/m/n/10 10.252 Overfull \hbox (1.7777pt too wide) detected at line 578 \T1/lmr/m/n/10 10.253 Overfull \hbox (1.7777pt too wide) detected at line 579 \T1/lmr/m/n/10 10.254 Overfull \hbox (1.7777pt too wide) detected at line 580 \T1/lmr/m/n/10 10.255 Overfull \hbox (1.7777pt too wide) detected at line 581 \T1/lmr/m/n/10 10.256 Overfull \hbox (1.7777pt too wide) detected at line 582 \T1/lmr/m/n/10 10.257 Overfull \hbox (1.7777pt too wide) detected at line 583 \T1/lmr/m/n/10 10.258 Overfull \hbox (1.7777pt too wide) detected at line 584 \T1/lmr/m/n/10 10.259 [8] Overfull \hbox (1.7777pt too wide) detected at line 585 \T1/lmr/m/n/10 10.260 Overfull \hbox (1.7777pt too wide) detected at line 586 \T1/lmr/m/n/10 10.261 Overfull \hbox (1.7777pt too wide) detected at line 587 \T1/lmr/m/n/10 10.262 Overfull \hbox (1.7777pt too wide) detected at line 588 \T1/lmr/m/n/10 10.263 Overfull \hbox (1.7777pt too wide) detected at line 589 \T1/lmr/m/n/10 10.264 Overfull \hbox (1.7777pt too wide) detected at line 590 \T1/lmr/m/n/10 10.265 Overfull \hbox (1.7777pt too wide) detected at line 591 \T1/lmr/m/n/10 10.266 Overfull \hbox (1.7777pt too wide) detected at line 592 \T1/lmr/m/n/10 10.267 Overfull \hbox (1.7777pt too wide) detected at line 593 \T1/lmr/m/n/10 10.268 Overfull \hbox (1.7777pt too wide) detected at line 594 \T1/lmr/m/n/10 10.269 Overfull \hbox (1.7777pt too wide) detected at line 595 \T1/lmr/m/n/10 10.270 Overfull \hbox (1.7777pt too wide) detected at line 596 \T1/lmr/m/n/10 10.271 Overfull \hbox (1.7777pt too wide) detected at line 597 \T1/lmr/m/n/10 10.272 Overfull \hbox (1.7777pt too wide) detected at line 598 \T1/lmr/m/n/10 10.273 Overfull \hbox (1.7777pt too wide) detected at line 599 \T1/lmr/m/n/10 10.274 Overfull \hbox (1.7777pt too wide) detected at line 600 \T1/lmr/m/n/10 10.275 Overfull \hbox (1.7777pt too wide) detected at line 601 \T1/lmr/m/n/10 10.276 Overfull \hbox (1.7777pt too wide) detected at line 602 \T1/lmr/m/n/10 10.277 Overfull \hbox (1.7777pt too wide) detected at line 603 \T1/lmr/m/n/10 10.278 Overfull \hbox (1.7777pt too wide) detected at line 604 \T1/lmr/m/n/10 10.279 Overfull \hbox (1.7777pt too wide) detected at line 605 \T1/lmr/m/n/10 10.280 Overfull \hbox (1.7777pt too wide) detected at line 606 \T1/lmr/m/n/10 10.281 Overfull \hbox (1.7777pt too wide) detected at line 607 \T1/lmr/m/n/10 10.282 Overfull \hbox (1.7777pt too wide) detected at line 608 \T1/lmr/m/n/10 10.283 Overfull \hbox (1.7777pt too wide) detected at line 609 \T1/lmr/m/n/10 10.284 Overfull \hbox (1.7777pt too wide) detected at line 610 \T1/lmr/m/n/10 10.285 Overfull \hbox (1.7777pt too wide) detected at line 611 \T1/lmr/m/n/10 10.286 ) [9] [10] (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/ufontawesomefree2.fd ) (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) (/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [1{/usr/share/texlive/texmf-dist/fonts/enc/dvips/fontawesome5/fa5free2.enc}{/us r/share/texmf/fonts/enc/dvips/lm/lm-ts1.enc}] Overfull \hbox (45.68733pt too wide) in paragraph at lines 196--198 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (45.68733pt too wide) in paragraph at lines 204--206 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (45.68733pt too wide) in paragraph at lines 212--214 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, [2] Overfull \hbox (45.68733pt too wide) in paragraph at lines 220--222 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (45.68733pt too wide) in paragraph at lines 228--230 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (45.68733pt too wide) in paragraph at lines 236--238 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (58.88144pt too wide) in paragraph at lines 283--285 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/index.rst, [3] Overfull \hbox (58.88144pt too wide) in paragraph at lines 291--293 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/index.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 299--301 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 307--309 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 315--317 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 323--325 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 331--333 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, [4] Overfull \hbox (143.9655pt too wide) in paragraph at lines 339--341 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 347--349 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 355--357 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 363--365 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 371--373 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (88.3812pt too wide) in paragraph at lines 379--381 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/load_design.rst, Overfull \hbox (88.3812pt too wide) in paragraph at lines 388--390 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/load_design.rst, [5] Overfull \hbox (106.10341pt too wide) in paragraph at lines 396--398 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (106.10341pt too wide) in paragraph at lines 404--406 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (106.10341pt too wide) in paragraph at lines 412--414 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (106.10341pt too wide) in paragraph at lines 420--422 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (106.10341pt too wide) in paragraph at lines 428--430 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (76.4927pt too wide) in paragraph at lines 436--438 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/selections.rst, [6] Overfull \hbox (76.4927pt too wide) in paragraph at lines 444--446 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/selections.rst, Overfull \hbox (76.4927pt too wide) in paragraph at lines 452--454 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/selections.rst, Overfull \hbox (22.54857pt too wide) in paragraph at lines 460--462 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/abc.rst, Overfull \hbox (44.2708pt too wide) in paragraph at lines 468--470 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/cell_libs.rst, Overfull \hbox (38.13246pt too wide) in paragraph at lines 477--479 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/extract.rst, Overfull \hbox (38.13246pt too wide) in paragraph at lines 485--487 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/extract.rst, Overfull \hbox (41.74258pt too wide) in paragraph at lines 493--495 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/memory.rst, [7] Overfull \hbox (41.74258pt too wide) in paragraph at lines 501--503 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/memory.rst, Overfull \hbox (41.74258pt too wide) in paragraph at lines 509--511 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/memory.rst, Overfull \hbox (21.71529pt too wide) in paragraph at lines 517--519 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, Overfull \hbox (21.71529pt too wide) in paragraph at lines 525--527 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, Overfull \hbox (21.71529pt too wide) in paragraph at lines 533--535 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, Overfull \hbox (21.71529pt too wide) in paragraph at lines 541--543 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, Overfull \hbox (26.46524pt too wide) in paragraph at lines 549--551 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/proc.rst, [8] Overfull \hbox (31.21547pt too wide) in paragraph at lines 557--559 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/synth.rst, Overfull \hbox (75.65968pt too wide) in paragraph at lines 565--567 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/techmap_synth.rst, Overfull \hbox (75.65968pt too wide) in paragraph at lines 573--575 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/techmap_synth.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 581--583 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 589--591 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 597--599 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, [9] Overfull \hbox (100.96614pt too wide) in paragraph at lines 605--607 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 613--615 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 621--623 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 629--631 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 637--639 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 645--647 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (104.35516pt too wide) in paragraph at lines 653--655 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/test_suites.rst , [10] Overfull \hbox (104.35516pt too wide) in paragraph at lines 661--663 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/test_suites.rst , Overfull \hbox (81.32587pt too wide) in paragraph at lines 669--671 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/flow/control_and_data.rst, Overfull \hbox (38.27055pt too wide) in paragraph at lines 677--679 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/flow/overview.rst, Overfull \hbox (73.57599pt too wide) in paragraph at lines 689--691 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/flow/verilog_frontend.rst, Overfull \hbox (73.57599pt too wide) in paragraph at lines 701--703 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/flow/verilog_frontend.rst, Overfull \hbox (1.57625pt too wide) in paragraph at lines 709--711 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/index.rst, [11] Overfull \hbox (1.57625pt too wide) in paragraph at lines 717--719 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/index.rst, Overfull \hbox (14.90923pt too wide) in paragraph at lines 725--727 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/techmap.rst, Overfull \hbox (6.8819pt too wide) in paragraph at lines 733--735 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/verilog.rst, [12] Chapter 1. (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/ufontawesomefree1.fd) [13{/usr/share/texlive/texmf-dist/fonts/enc/dvips/fontawesome5/fa5free1.enc} <. /logo.png>] [14] [15 <./levels_of_abstraction.pdf>] [16] [17] [18] Chapter 2. [19] [20] [21{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texmf/fonts/e nc/dvips/lm/lm-mathsy.enc}] [22] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) [23] [24] [25] [26] [27 <./addr_gen_hier.pdf>] [28 <./addr_gen_proc.pdf> <./addr_gen_clean.pdf pdfTeX warning: pdflatex (file ./addr_gen_clean.pdf): PDF inclusion: multiple p dfs with page group included in a single page >] Overfull \vbox (3.09392pt too high) detected at line 1879 [29] [30 <./rdata_proc.pdf>] [31 <./rdata_flat.pdf>] [32] [33 <./rdata_adffe.pdf>] [34] [35 <./rdata_wreduce.pdf> <./rdata_memrdv2.pdf pdfTeX warning: pdflatex (file ./rdata_memrdv2.pdf): PDF inclusion: multiple pd fs with page group included in a single page >] [36] [37] [38 <./rdata_alumacc.pdf> <./rdata_coarse.pdf pdfTeX warning: pdflatex (file ./rdata_coarse.pdf): PDF inclusion: multiple pdf s with page group included in a single page >] [39 <./rdata_map_ram.pdf>] [40] [41 <./rdata_map_ffram.pdf>] [42] [43 <./rdata_map_gates.pdf>] [44 <./rdata_map_ffs.pdf>] [45 <./rdata_map_luts.pdf>] LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available (Font) Font shape `T1/lmr/b/sl' tried instead on input line 2761. LaTeX Font Warning: Font shape `T1/lmtt/b/it' in size <10> not available (Font) Font shape `T1/lmtt/b/sl' tried instead on input line 2761. [46 <./rdata_map_cells.pdf>] Overfull \vbox (3.16997pt too high) detected at line 2899 [47] [48{/usr/share/texmf/fonts/enc/dvips/lm/lm-rm.enc}] [49] [50] [51 <./addr_gen_show.pdf>] [52] [53 <./new_cells_show.pdf>] [54] Chapter 3. [55] [56] [57] [58 <./proc_01.pdf> <./proc_02.pdf pdfTeX warning: pdflatex (file ./proc_02.pdf): PDF inclusion: multiple pdfs wit h page group included in a single page >] Underfull \vbox (badness 2726) detected at line 3587 [59 <./proc_03.pdf>] [60] [61] [62] [63 <./memory_01.pdf>] [64 <./memory_02.pdf>] [65] [66] [67] [68] [69] [70] [71] [72] [73] [74] Overfull \vbox (2.7645pt too high) detected at line 4903 [75] [76] [77] [78] [79 <./opt_expr.pdf>] [80 <./opt_merge.pdf>] [81 <./opt_muxtree.pdf>] [82 <./opt_share.pdf>] [83] [84] [85] [86 <./macc_simple_test_00a.pdf> <./macc_simple_test_00b.pdf pdfTeX warning: pdflatex (file ./macc_simple_test_00b.pdf): PDF inclusion: mult iple pdfs with page group included in a single page >] [87 <./macc_simple_test_01a.pdf> <./macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./macc_simple_test_01b.pdf): PDF inclusion: mult iple pdfs with page group included in a single page >] [88 <./macc_simple_test_02a.pdf> <./macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./macc_simple_test_02b.pdf): PDF inclusion: mult iple pdfs with page group included in a single page >] [89] Underfull \vbox (badness 5985) detected at line 5805 [90] [91] Underfull \hbox (badness 10000) in paragraph at lines 5881--5881 []\T1/lmr/m/n/10 Listing 3.27: |[]\T1/lmtt/m/n/10 $__mul_wrapper \T1/lmr/m/n/10 mod-ule in [92] Underfull \hbox (badness 10000) in paragraph at lines 5918--5918 []\T1/lmr/m/n/10 Listing 3.28: |[]\T1/lmtt/m/n/10 $__add_wrapper \T1/lmr/m/n/10 mod-ule in [93] [94 <./macc_xilinx_test1a.pdf> <./macc_xilinx_test1b.pdf pdfTeX warning: pdflatex (file ./macc_xilinx_test1b.pdf): PDF inclusion: multip le pdfs with page group included in a single page >] [95 <./macc_xilinx_test2a.pdf> <./macc_xilinx_test2b.pdf pdfTeX warning: pdflatex (file ./macc_xilinx_test2b.pdf): PDF inclusion: multip le pdfs with page group included in a single page >] [96 <./macc_xilinx_test1c.pdf>] [97 <./macc_xilinx_test2c.pdf>] [98 <./macc_xilinx_test1d.pdf> <./macc_xilinx_test2d.pdf pdfTeX warning: pdflatex (file ./macc_xilinx_test2d.pdf): PDF inclusion: multip le pdfs with page group included in a single page >] [99 <./macc_xilinx_test2e.pdf>] [100] [101] [102] [103 <./counter_00.pdf> <./counter_01.pdf pdfTeX warning: pdflatex (file ./counter_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \vbox (badness 10000) detected at line 6521 Overfull \vbox (1.05281pt too high) detected at line 6521 [104 <./counter_02.pdf>] [105 <./counter_03.pdf>] [106] [107] [108] [109] [110 <./sumprod_00.pdf> <./sumprod_01.pdf pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [111 <./sumprod_02.pdf> <./sumprod_03.pdf pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [112 <./sumprod_04.pdf> <./sumprod_05.pdf pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [113] [114 <./memdemo_00.pdf>] [115 <./memdemo_01.pdf> <./memdemo_02.pdf pdfTeX warning: pdflatex (file ./memdemo_02.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [116 <./memdemo_03.pdf>] [117 <./memdemo_05.pdf> <./memdemo_04.pdf pdfTeX warning: pdflatex (file ./memdemo_04.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [118] [119 <./select.pdf>] [120 <./example_first.pdf>] [121 <./example_second.pdf>] [122 <./example_third.pdf>] [123 <./splice.pdf>] [124 <./cmos_00.pdf>] [125 <./cmos_01.pdf>] [126] [127] [128 <./scrambler_p01.pdf> <./scrambler_p02.pdf pdfTeX warning: pdflatex (file ./scrambler_p02.pdf): PDF inclusion: multiple pd fs with page group included in a single page >] Overfull \vbox (2.44472pt too high) detected at line 7922 [129] [130] [131 <./submod_02.pdf> <./submod_03.pdf pdfTeX warning: pdflatex (file ./submod_03.pdf): PDF inclusion: multiple pdfs w ith page group included in a single page > <./submod_01.pdf pdfTeX warning: pdflatex (file ./submod_01.pdf): PDF inclusion: multiple pdfs w ith page group included in a single page >] [132] [133] [134] [135] [136] [137] [138] [139] Underfull \vbox (badness 10000) detected at line 8563 Overfull \vbox (0.72485pt too high) detected at line 8563 [140] [141] [142] Chapter 4. [143] [144] [145 <./overview_flow.pdf> <./approach_flow.pdf>] [146 <./verilog_flow.pdf>] Underfull \hbox (badness 7777) in paragraph at lines 8975--8979 []|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form ] [157] [158] [159] [160] [161] [162] [163 <./simplified_rtlil.pdf>] [164] [165] [166 <./test1.pdf>] [167] Underfull \vbox (badness 10000) detected at line 10971 Overfull \vbox (0.56999pt too high) detected at line 10971 [168] Underfull \vbox (badness 10000) detected at line 10971 Overfull \vbox (0.56999pt too high) detected at line 10971 [169] [170] [171] [172] [173] [174] [175] Underfull \hbox (badness 10000) in paragraph at lines 11742--11751 []\T1/lmr/m/n/10 Be-cause we are us-ing the \T1/lmtt/m/n/10 Backend \T1/lmr/m/n /10 class, our \T1/lmtt/m/n/10 "functional_dummy" \T1/lmr/m/n/10 is reg-is-tere d as the [176] [177] [178] [179] [180] Underfull \vbox (badness 1264) detected at line 12085 [181] Overfull \vbox (2.54712pt too high) detected at line 12152 [182] [183] Underfull \hbox (badness 10000) in paragraph at lines 12200--12200 []\T1/lmr/m/n/10 Listing 4.22: |[]iterating over Func-tion-alIR nodes in Underfull \hbox (badness 10000) in paragraph at lines 12225--12225 []\T1/lmr/m/n/10 Listing 4.24: |[]diff of out-put/next state han-dling [184] Overfull \vbox (1.06844pt too high) detected at line 12302 [185] [186] [187] [188 <./red_or3x1.pdf>] [189 <./sym_mul.pdf>] [190] [191 <./mymul.pdf>] [192 <./mulshift.pdf>] Underfull \hbox (badness 5490) in paragraph at lines 12813--12816 []\T1/lmr/m/n/10 Each bit of the port cor-re-lates to an \T1/lmtt/m/n/10 _TECHM AP_BITS_CONNMAP_ \T1/lmr/m/n/10 bits wide num-ber in Underfull \hbox (badness 10000) in paragraph at lines 12818--12821 []\T1/lmr/m/n/10 Each unique sig-nal bit is as-signed its own num-ber. Iden-ti- cal fields in the [193] [194 <./addshift.pdf>] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] Chapter 5. [205 <./basics_abstractions.pdf>] [206] [207] [208] [209] [210] [211] [212 <./basics_flow.pdf>] [213] [214 <./basics_parsetree.pdf> <./basics_ast.pdf>] [215] [216] Chapter 6. [217] [218] [219] [220] [221] [222] Chapter 7. [223] [224] Chapter 8. Overfull \vbox (1.34746pt too high) detected at line 15202 [225] [226] Underfull \vbox (badness 10000) detected at line 15437 Overfull \vbox (0.56999pt too high) detected at line 15437 [227] Underfull \vbox (badness 10000) detected at line 15437 Overfull \vbox (0.56999pt too high) detected at line 15437 [228] Underfull \vbox (badness 10000) detected at line 15437 Overfull \vbox (0.56999pt too high) detected at line 15437 [229] [230] Chapter 9. [231] [232] [233] [234] [235] [236] [237] [238] [239] [240] [241] [242] [243] [244] [245] [246] [247] [248] [249] [250] [251] [252] [253] [254] Overfull \vbox (2.36986pt too high) detected at line 18186 [255] [256] [257] [258] [259] [260] [261] [262] [263] [264] [265] [266] [267] [268] [269] [270] [271] [272] [273] [274] Underfull \vbox (badness 10000) detected at line 20013 Overfull \vbox (2.76991pt too high) detected at line 20013 [275] [276] Underfull \vbox (badness 10000) detected at line 20152 Overfull \vbox (2.76991pt too high) detected at line 20152 [277] Underfull \vbox (badness 10000) detected at line 20152 Overfull \vbox (0.56999pt too high) detected at line 20152 [278] [279] [280] [281] [282] [283] Underfull \vbox (badness 10000) detected at line 20567 Overfull \vbox (0.56999pt too high) detected at line 20567 [284] [285] [286] [287] [288] Underfull \vbox (badness 10000) detected at line 21002 Overfull \vbox (0.56999pt too high) detected at line 21002 [289] Underfull \vbox (badness 10000) detected at line 21002 Overfull \vbox (0.56999pt too high) detected at line 21002 [290] [291] [292] [293] Underfull \vbox (badness 10000) detected at line 21378 [294] Underfull \vbox (badness 10000) detected at line 21378 Overfull \vbox (0.56999pt too high) detected at line 21378 [295] Underfull \vbox (badness 10000) detected at line 21378 Overfull \vbox (0.56999pt too high) detected at line 21378 [296] [297] [298] [299] [300] [301] [302] [303] [304] [305] [306] [307] [308] [309] [310] [311] [312] [313] [314] [315] [316] [317] [318] [319] [320] [321] [322] [323] [324] [325] [326] [327] [328] [329] [330] [331] [332] [333] [334] [335] [336] [337] [338] [339] [340] [341] [342] [343] [344] [345] [346] [347] [348] [349] [350] [351] [352] [353] [354] [355] [356] [357] [358] [359] [360] [361] [362] [363] [364] [365] [366] [367] [368] [369] [370] [371] [372] [373] [374] [375] [376] [377] [378] [379] [380] [381] [382] [383] [384] [385] [386] Chapter 10. [387] [388] [389] Underfull \vbox (badness 10000) detected at line 31873 Overfull \vbox (0.56999pt too high) detected at line 31873 [390] Underfull \vbox (badness 10000) detected at line 31873 Overfull \vbox (0.56999pt too high) detected at line 31873 [391] Overfull \vbox (2.84741pt too high) detected at line 32031 [392] Underfull \vbox (badness 10000) detected at line 32031 Overfull \vbox (0.56999pt too high) detected at line 32031 [393] Underfull \vbox (badness 10000) detected at line 32031 Overfull \vbox (0.56999pt too high) detected at line 32031 [394] [395] Underfull \vbox (badness 10000) detected at line 32137 Underfull \vbox (badness 10000) detected at line 32137 [396] Overfull \vbox (2.84741pt too high) detected at line 32235 [397] Underfull \vbox (badness 10000) detected at line 32235 Overfull \vbox (0.56999pt too high) detected at line 32235 [398] [399] [400] Overfull \vbox (2.84741pt too high) detected at line 32419 [401] [402] [403] Overfull \vbox (2.84741pt too high) detected at line 32660 [404] [405] [406] [407] [408] [409] Overfull \vbox (1.94772pt too high) detected at line 33104 [410] Overfull \vbox (2.84741pt too high) detected at line 33166 [411] [412] [413] [414] Overfull \vbox (1.94772pt too high) detected at line 33499 [415] [416] [417] [418] [419] [420] Overfull \vbox (1.94772pt too high) detected at line 33976 [421] Overfull \vbox (2.84741pt too high) detected at line 34019 [422] [423] [424] [425] [426] [427] [428] [429] [430] Overfull \vbox (1.94772pt too high) detected at line 34725 [431] Overfull \vbox (2.84741pt too high) detected at line 34825 [432] [433] [434] [435] Overfull \vbox (2.84741pt too high) detected at line 35063 [436] [437] Overfull \vbox (1.94772pt too high) detected at line 35210 [438] [439] Overfull \vbox (1.94772pt too high) detected at line 35343 [440] [441] [442] [443] [444] Underfull \vbox (badness 10000) detected at line 35725 Overfull \vbox (0.56999pt too high) detected at line 35725 [445] [446] Underfull \vbox (badness 10000) detected at line 35872 Overfull \vbox (0.56999pt too high) detected at line 35872 [447] [448] [449] [450] [451] [452] Overfull \vbox (1.94772pt too high) detected at line 36368 [453] Overfull \vbox (2.84741pt too high) detected at line 36425 [454] [455] [456] Underfull \vbox (badness 10000) detected at line 36690 Overfull \vbox (0.56999pt too high) detected at line 36690 [457] [458] [459] [460] [461] [462] Overfull \vbox (2.84741pt too high) detected at line 37159 [463] Overfull \vbox (2.84741pt too high) detected at line 37204 [464] [465] [466] [467] Overfull \vbox (2.84741pt too high) detected at line 37498 [468] [469] [470] [471] [472] [473] [474] Overfull \vbox (1.94772pt too high) detected at line 38100 [475] [476] [477] [478] [479] Underfull \vbox (badness 10000) detected at line 38498 Overfull \vbox (0.56999pt too high) detected at line 38498 [480] [481] [482] Overfull \vbox (2.84741pt too high) detected at line 38730 [483] [484] [485] Underfull \vbox (badness 10000) detected at line 39051 Overfull \vbox (0.56999pt too high) detected at line 39051 [486] Underfull \vbox (badness 10000) detected at line 39051 Overfull \vbox (0.56999pt too high) detected at line 39051 [487] Underfull \vbox (badness 10000) detected at line 39051 Overfull \vbox (0.56999pt too high) detected at line 39051 [488] [489] [490] Overfull \vbox (1.94772pt too high) detected at line 39384 [491] Underfull \vbox (badness 10000) detected at line 39384 Overfull \vbox (0.56999pt too high) detected at line 39384 [492] Underfull \vbox (badness 10000) detected at line 39384 Overfull \vbox (0.56999pt too high) detected at line 39384 [493] [494] Overfull \vbox (2.84741pt too high) detected at line 39510 [495] [496] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [497] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [498] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [499] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [500] [501] [502] [503] [504] Overfull \vbox (2.84741pt too high) detected at line 40181 [505] Overfull \vbox (2.84741pt too high) detected at line 40310 [506] Underfull \vbox (badness 10000) detected at line 40310 Overfull \vbox (0.56999pt too high) detected at line 40310 [507] Underfull \vbox (badness 10000) detected at line 40310 Overfull \vbox (0.56999pt too high) detected at line 40310 [508] [509] [510] [511] [512] Underfull \vbox (badness 10000) detected at line 40708 Overfull \vbox (0.56999pt too high) detected at line 40708 [513] Overfull \vbox (2.84741pt too high) detected at line 40793 [514] Underfull \vbox (badness 10000) detected at line 40793 Overfull \vbox (0.56999pt too high) detected at line 40793 [515] Overfull \vbox (2.84741pt too high) detected at line 40902 [516] [517] [518] Overfull \vbox (2.84741pt too high) detected at line 41082 [519] [520] [521] Underfull \vbox (badness 10000) detected at line 41260 Overfull \vbox (0.56999pt too high) detected at line 41260 [522] Underfull \vbox (badness 10000) detected at line 41260 Overfull \vbox (0.56999pt too high) detected at line 41260 [523] Overfull \vbox (2.84741pt too high) detected at line 41368 [524] Underfull \vbox (badness 10000) detected at line 41368 Overfull \vbox (0.56999pt too high) detected at line 41368 [525] Overfull \vbox (2.84741pt too high) detected at line 41546 [526] Underfull \vbox (badness 10000) detected at line 41546 Overfull \vbox (0.56999pt too high) detected at line 41546 [527] Underfull \vbox (badness 10000) detected at line 41546 Overfull \vbox (0.56999pt too high) detected at line 41546 [528] Overfull \vbox (2.84741pt too high) detected at line 41709 [529] Underfull \vbox (badness 10000) detected at line 41709 Overfull \vbox (0.56999pt too high) detected at line 41709 [530] Underfull \vbox (badness 10000) detected at line 41709 Overfull \vbox (0.56999pt too high) detected at line 41709 [531] Overfull \vbox (2.84741pt too high) detected at line 41846 [532] Underfull \vbox (badness 10000) detected at line 41846 Overfull \vbox (0.56999pt too high) detected at line 41846 [533] Overfull \vbox (2.84741pt too high) detected at line 41946 [534] Underfull \vbox (badness 10000) detected at line 41946 Overfull \vbox (0.56999pt too high) detected at line 41946 [535] Overfull \vbox (2.84741pt too high) detected at line 42142 [536] Underfull \vbox (badness 10000) detected at line 42142 Overfull \vbox (0.56999pt too high) detected at line 42142 [537] Underfull \vbox (badness 10000) detected at line 42142 Overfull \vbox (0.56999pt too high) detected at line 42142 [538] Underfull \vbox (badness 10000) detected at line 42142 Overfull \vbox (0.56999pt too high) detected at line 42142 [539] Overfull \vbox (2.84741pt too high) detected at line 42286 [540] Underfull \vbox (badness 10000) detected at line 42286 Overfull \vbox (0.56999pt too high) detected at line 42286 [541] [542] Underfull \vbox (badness 10000) detected at line 42415 Overfull \vbox (0.56999pt too high) detected at line 42415 [543] Underfull \vbox (badness 10000) detected at line 42415 Overfull \vbox (0.56999pt too high) detected at line 42415 [544] Overfull \vbox (2.84741pt too high) detected at line 42597 [545] Underfull \vbox (badness 10000) detected at line 42597 Overfull \vbox (0.56999pt too high) detected at line 42597 [546] Underfull \vbox (badness 10000) detected at line 42597 Overfull \vbox (0.56999pt too high) detected at line 42597 [547] Overfull \vbox (2.84741pt too high) detected at line 42772 [548] Underfull \vbox (badness 10000) detected at line 42772 Overfull \vbox (0.56999pt too high) detected at line 42772 [549] Underfull \vbox (badness 10000) detected at line 42772 Overfull \vbox (0.56999pt too high) detected at line 42772 [550] Overfull \vbox (2.84741pt too high) detected at line 42922 [551] Underfull \vbox (badness 10000) detected at line 42922 Overfull \vbox (0.56999pt too high) detected at line 42922 [552] Underfull \vbox (badness 10000) detected at line 42922 Overfull \vbox (0.56999pt too high) detected at line 42922 [553] Overfull \vbox (2.84741pt too high) detected at line 43081 [554] Underfull \vbox (badness 10000) detected at line 43081 Overfull \vbox (0.56999pt too high) detected at line 43081 [555] Underfull \vbox (badness 10000) detected at line 43081 Overfull \vbox (0.56999pt too high) detected at line 43081 [556] Overfull \vbox (2.84741pt too high) detected at line 43249 [557] Underfull \vbox (badness 10000) detected at line 43249 Overfull \vbox (0.56999pt too high) detected at line 43249 [558] Underfull \vbox (badness 10000) detected at line 43249 Overfull \vbox (0.56999pt too high) detected at line 43249 [559] Overfull \vbox (2.84741pt too high) detected at line 43364 [560] Underfull \vbox (badness 10000) detected at line 43364 Overfull \vbox (0.56999pt too high) detected at line 43364 [561] Overfull \vbox (2.84741pt too high) detected at line 43579 [562] Underfull \vbox (badness 10000) detected at line 43579 Overfull \vbox (0.56999pt too high) detected at line 43579 [563] Underfull \vbox (badness 10000) detected at line 43579 Overfull \vbox (0.56999pt too high) detected at line 43579 [564] Underfull \vbox (badness 10000) detected at line 43579 Overfull \vbox (0.56999pt too high) detected at line 43579 [565] [566] Overfull \vbox (1.94772pt too high) detected at line 43838 [567] Underfull \vbox (badness 10000) detected at line 43838 Overfull \vbox (0.56999pt too high) detected at line 43838 [568] Underfull \vbox (badness 10000) detected at line 43838 Overfull \vbox (0.56999pt too high) detected at line 43838 [569] Overfull \vbox (2.84741pt too high) detected at line 43875 [570] [571] Overfull \vbox (2.84741pt too high) detected at line 44027 [572] [573] [574] Overfull \vbox (1.94772pt too high) detected at line 44323 [575] Underfull \vbox (badness 10000) detected at line 44323 Overfull \vbox (0.56999pt too high) detected at line 44323 [576] [577] Overfull \vbox (1.94772pt too high) detected at line 44472 [578] [579] [580] Overfull \vbox (1.94772pt too high) detected at line 44665 [581] Overfull \vbox (2.84741pt too high) detected at line 44747 [582] Overfull \vbox (2.84741pt too high) detected at line 44786 [583] Overfull \vbox (2.84741pt too high) detected at line 45041 [584] Underfull \vbox (badness 10000) detected at line 45041 Overfull \vbox (0.56999pt too high) detected at line 45041 [585] Underfull \vbox (badness 10000) detected at line 45041 Overfull \vbox (0.56999pt too high) detected at line 45041 [586] Underfull \vbox (badness 10000) detected at line 45041 Overfull \vbox (0.56999pt too high) detected at line 45041 [587] [588] [589] [590] [591] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [592] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [593] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [594] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [595] [596] Overfull \vbox (1.94772pt too high) detected at line 45781 [597] Underfull \vbox (badness 10000) detected at line 45781 Overfull \vbox (0.56999pt too high) detected at line 45781 [598] Underfull \vbox (badness 10000) detected at line 45781 Overfull \vbox (0.56999pt too high) detected at line 45781 [599] [600] [601] Underfull \vbox (badness 10000) detected at line 45992 Overfull \vbox (0.56999pt too high) detected at line 45992 [602] [603] [604] Overfull \vbox (2.84741pt too high) detected at line 46184 [605] Overfull \vbox (2.84741pt too high) detected at line 46248 [606] [607] [608] Underfull \hbox (badness 5652) in paragraph at lines 46282--46284 \T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. Underfull \hbox (badness 7685) in paragraph at lines 46285--46287 []\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo r ver-ilog hard-ware de- Underfull \hbox (badness 5022) in paragraph at lines 46285--46287 \T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. [609] [610] [611] [612] [613] [614] [615] [616] [617] [618] [619] [620] [621] [622] Underfull \hbox (badness 10000) in paragraph at lines 47244--47245 []\T1/lmtt/m/n/10 write_functional_cxx Underfull \hbox (badness 10000) in paragraph at lines 47245--47246 []\T1/lmtt/m/n/10 write_functional_rosette Underfull \hbox (badness 10000) in paragraph at lines 47246--47247 []\T1/lmtt/m/n/10 write_functional_smt2 [623] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) (see the transcript file for additional information) Output written on yosyshqyosys.pdf (635 pages, 3053215 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' Latexmk: Examining 'yosyshqyosys.log' Latexmk: Index file 'yosyshqyosys.idx' was written Latexmk: Log file says output to 'yosyshqyosys.pdf' Have index file 'yosyshqyosys.idx', yosyshqyosys.ind yosyshqyosys Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Changed files or newly in use/created: yosyshqyosys.aux ------------ Run number 3 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "yosyshqyosys.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.26 (TeX Live 2025/dev/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./yosyshqyosys.tex LaTeX2e <2024-11-01> patch level 2 L3 programming layer <2025-01-18> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2024/06/29 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) (/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty) (./sphinxpackagefootnote.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/generic/stringenc/stringenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hypcap/hypcap.sty (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty Excluding comment 'comment') Writing index file yosyshqyosys.idx (/usr/share/texmf/tex/latex/lm/t1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./yosyshqyosys.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/fontawesome5.sty (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/expl3.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3packages/l3keys2e/l3keys2e.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3packages/xparse/xparse.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/fontawesome5-generic-help er.sty (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/fontawesome5-mapping.def) )) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (./yosyshqyosys.out) (./yosyshqyosys.out) (/usr/share/texmf/tex/latex/lm/t1lmss.fd) (/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<> (/usr/share/texmf/tex/latex/lm/omllmm.fd)<> (/usr/share/texmf/tex/latex/lm/omslmsy.fd)<> (/usr/share/texmf/tex/latex/lm/omxlmex.fd)<> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/en c/dvips/lm/lm-ec.enc}] [2] (./yosyshqyosys.toc [1] [2] [3] [4] [5] Overfull \hbox (1.7777pt too wide) detected at line 425 \T1/lmr/m/n/10 10.100 Overfull \hbox (1.7777pt too wide) detected at line 426 \T1/lmr/m/n/10 10.101 Overfull \hbox (1.7777pt too wide) detected at line 427 \T1/lmr/m/n/10 10.102 Overfull \hbox (1.7777pt too wide) detected at line 428 \T1/lmr/m/n/10 10.103 Overfull \hbox (1.7777pt too wide) detected at line 429 \T1/lmr/m/n/10 10.104 Overfull \hbox (1.7777pt too wide) detected at line 430 \T1/lmr/m/n/10 10.105 Overfull \hbox (1.7777pt too wide) detected at line 431 \T1/lmr/m/n/10 10.106 Overfull \hbox (1.7777pt too wide) detected at line 432 \T1/lmr/m/n/10 10.107 Overfull \hbox (1.7777pt too wide) detected at line 433 \T1/lmr/m/n/10 10.108 Overfull \hbox (1.7777pt too wide) detected at line 434 \T1/lmr/m/n/10 10.109 Overfull \hbox (1.7777pt too wide) detected at line 435 \T1/lmr/m/n/10 10.110 Overfull \hbox (1.7777pt too wide) detected at line 436 \T1/lmr/m/n/10 10.111 Overfull \hbox (1.7777pt too wide) detected at line 437 \T1/lmr/m/n/10 10.112 Overfull \hbox (1.7777pt too wide) detected at line 438 \T1/lmr/m/n/10 10.113 Overfull \hbox (1.7777pt too wide) detected at line 439 \T1/lmr/m/n/10 10.114 Overfull \hbox (1.7777pt too wide) detected at line 440 \T1/lmr/m/n/10 10.115 Overfull \hbox (1.7777pt too wide) detected at line 441 \T1/lmr/m/n/10 10.116 Overfull \hbox (1.7777pt too wide) detected at line 442 \T1/lmr/m/n/10 10.117 Overfull \hbox (1.7777pt too wide) detected at line 443 \T1/lmr/m/n/10 10.118 Overfull \hbox (1.7777pt too wide) detected at line 444 \T1/lmr/m/n/10 10.119 Overfull \hbox (1.7777pt too wide) detected at line 445 \T1/lmr/m/n/10 10.120 Overfull \hbox (1.7777pt too wide) detected at line 446 \T1/lmr/m/n/10 10.121 Overfull \hbox (1.7777pt too wide) detected at line 447 \T1/lmr/m/n/10 10.122 Overfull \hbox (1.7777pt too wide) detected at line 448 \T1/lmr/m/n/10 10.123 Overfull \hbox (1.7777pt too wide) detected at line 449 \T1/lmr/m/n/10 10.124 Overfull \hbox (1.7777pt too wide) detected at line 450 \T1/lmr/m/n/10 10.125 Overfull \hbox (1.7777pt too wide) detected at line 451 \T1/lmr/m/n/10 10.126 Overfull \hbox (1.7777pt too wide) detected at line 452 \T1/lmr/m/n/10 10.127 Overfull \hbox (1.7777pt too wide) detected at line 453 \T1/lmr/m/n/10 10.128 Overfull \hbox (1.7777pt too wide) detected at line 454 \T1/lmr/m/n/10 10.129 Overfull \hbox (1.7777pt too wide) detected at line 455 \T1/lmr/m/n/10 10.130 Overfull \hbox (1.7777pt too wide) detected at line 456 \T1/lmr/m/n/10 10.131 Overfull \hbox (1.7777pt too wide) detected at line 457 \T1/lmr/m/n/10 10.132 Overfull \hbox (1.7777pt too wide) detected at line 458 \T1/lmr/m/n/10 10.133 Overfull \hbox (1.7777pt too wide) detected at line 459 \T1/lmr/m/n/10 10.134 Overfull \hbox (1.7777pt too wide) detected at line 460 \T1/lmr/m/n/10 10.135 Overfull \hbox (1.7777pt too wide) detected at line 461 \T1/lmr/m/n/10 10.136 Overfull \hbox (1.7777pt too wide) detected at line 462 \T1/lmr/m/n/10 10.137 Overfull \hbox (1.7777pt too wide) detected at line 463 \T1/lmr/m/n/10 10.138 Overfull \hbox (1.7777pt too wide) detected at line 464 \T1/lmr/m/n/10 10.139 Overfull \hbox (1.7777pt too wide) detected at line 465 \T1/lmr/m/n/10 10.140 Overfull \hbox (1.7777pt too wide) detected at line 466 \T1/lmr/m/n/10 10.141 Overfull \hbox (1.7777pt too wide) detected at line 467 \T1/lmr/m/n/10 10.142 Overfull \hbox (1.7777pt too wide) detected at line 468 \T1/lmr/m/n/10 10.143 Overfull \hbox (1.7777pt too wide) detected at line 469 \T1/lmr/m/n/10 10.144 Overfull \hbox (1.7777pt too wide) detected at line 470 \T1/lmr/m/n/10 10.145 Overfull \hbox (1.7777pt too wide) detected at line 471 \T1/lmr/m/n/10 10.146 Overfull \hbox (1.7777pt too wide) detected at line 472 \T1/lmr/m/n/10 10.147 Overfull \hbox (1.7777pt too wide) detected at line 473 \T1/lmr/m/n/10 10.148 Overfull \hbox (1.7777pt too wide) detected at line 474 \T1/lmr/m/n/10 10.149 Overfull \hbox (1.7777pt too wide) detected at line 475 \T1/lmr/m/n/10 10.150 Overfull \hbox (1.7777pt too wide) detected at line 476 \T1/lmr/m/n/10 10.151 [6] Overfull \hbox (1.7777pt too wide) detected at line 477 \T1/lmr/m/n/10 10.152 Overfull \hbox (1.7777pt too wide) detected at line 478 \T1/lmr/m/n/10 10.153 Overfull \hbox (1.7777pt too wide) detected at line 479 \T1/lmr/m/n/10 10.154 Overfull \hbox (1.7777pt too wide) detected at line 480 \T1/lmr/m/n/10 10.155 Overfull \hbox (1.7777pt too wide) detected at line 481 \T1/lmr/m/n/10 10.156 Overfull \hbox (1.7777pt too wide) detected at line 482 \T1/lmr/m/n/10 10.157 Overfull \hbox (1.7777pt too wide) detected at line 483 \T1/lmr/m/n/10 10.158 Overfull \hbox (1.7777pt too wide) detected at line 484 \T1/lmr/m/n/10 10.159 Overfull \hbox (1.7777pt too wide) detected at line 485 \T1/lmr/m/n/10 10.160 Overfull \hbox (1.7777pt too wide) detected at line 486 \T1/lmr/m/n/10 10.161 Overfull \hbox (1.7777pt too wide) detected at line 487 \T1/lmr/m/n/10 10.162 Overfull \hbox (1.7777pt too wide) detected at line 488 \T1/lmr/m/n/10 10.163 Overfull \hbox (1.7777pt too wide) detected at line 489 \T1/lmr/m/n/10 10.164 Overfull \hbox (1.7777pt too wide) detected at line 490 \T1/lmr/m/n/10 10.165 Overfull \hbox (1.7777pt too wide) detected at line 491 \T1/lmr/m/n/10 10.166 Overfull \hbox (1.7777pt too wide) detected at line 492 \T1/lmr/m/n/10 10.167 Overfull \hbox (1.7777pt too wide) detected at line 493 \T1/lmr/m/n/10 10.168 Overfull \hbox (1.7777pt too wide) detected at line 494 \T1/lmr/m/n/10 10.169 Overfull \hbox (1.7777pt too wide) detected at line 495 \T1/lmr/m/n/10 10.170 Overfull \hbox (1.7777pt too wide) detected at line 496 \T1/lmr/m/n/10 10.171 Overfull \hbox (1.7777pt too wide) detected at line 497 \T1/lmr/m/n/10 10.172 Overfull \hbox (1.7777pt too wide) detected at line 498 \T1/lmr/m/n/10 10.173 Overfull \hbox (1.7777pt too wide) detected at line 499 \T1/lmr/m/n/10 10.174 Overfull \hbox (1.7777pt too wide) detected at line 500 \T1/lmr/m/n/10 10.175 Overfull \hbox (1.7777pt too wide) detected at line 501 \T1/lmr/m/n/10 10.176 Overfull \hbox (1.7777pt too wide) detected at line 502 \T1/lmr/m/n/10 10.177 Overfull \hbox (1.7777pt too wide) detected at line 503 \T1/lmr/m/n/10 10.178 Overfull \hbox (1.7777pt too wide) detected at line 504 \T1/lmr/m/n/10 10.179 Overfull \hbox (1.7777pt too wide) detected at line 505 \T1/lmr/m/n/10 10.180 Overfull \hbox (1.7777pt too wide) detected at line 506 \T1/lmr/m/n/10 10.181 Overfull \hbox (1.7777pt too wide) detected at line 507 \T1/lmr/m/n/10 10.182 Overfull \hbox (1.7777pt too wide) detected at line 508 \T1/lmr/m/n/10 10.183 Overfull \hbox (1.7777pt too wide) detected at line 509 \T1/lmr/m/n/10 10.184 Overfull \hbox (1.7777pt too wide) detected at line 510 \T1/lmr/m/n/10 10.185 Overfull \hbox (1.7777pt too wide) detected at line 511 \T1/lmr/m/n/10 10.186 Overfull \hbox (1.7777pt too wide) detected at line 512 \T1/lmr/m/n/10 10.187 Overfull \hbox (1.7777pt too wide) detected at line 513 \T1/lmr/m/n/10 10.188 Overfull \hbox (1.7777pt too wide) detected at line 514 \T1/lmr/m/n/10 10.189 Overfull \hbox (1.7777pt too wide) detected at line 515 \T1/lmr/m/n/10 10.190 Overfull \hbox (1.7777pt too wide) detected at line 516 \T1/lmr/m/n/10 10.191 Overfull \hbox (1.7777pt too wide) detected at line 517 \T1/lmr/m/n/10 10.192 Overfull \hbox (1.7777pt too wide) detected at line 518 \T1/lmr/m/n/10 10.193 Overfull \hbox (1.7777pt too wide) detected at line 519 \T1/lmr/m/n/10 10.194 Overfull \hbox (1.7777pt too wide) detected at line 520 \T1/lmr/m/n/10 10.195 Overfull \hbox (1.7777pt too wide) detected at line 521 \T1/lmr/m/n/10 10.196 Overfull \hbox (1.7777pt too wide) detected at line 522 \T1/lmr/m/n/10 10.197 Overfull \hbox (1.7777pt too wide) detected at line 523 \T1/lmr/m/n/10 10.198 Overfull \hbox (1.7777pt too wide) detected at line 524 \T1/lmr/m/n/10 10.199 Overfull \hbox (1.7777pt too wide) detected at line 525 \T1/lmr/m/n/10 10.200 Overfull \hbox (1.7777pt too wide) detected at line 526 \T1/lmr/m/n/10 10.201 Overfull \hbox (1.7777pt too wide) detected at line 527 \T1/lmr/m/n/10 10.202 Overfull \hbox (1.7777pt too wide) detected at line 528 \T1/lmr/m/n/10 10.203 Overfull \hbox (1.7777pt too wide) detected at line 529 \T1/lmr/m/n/10 10.204 Overfull \hbox (1.7777pt too wide) detected at line 530 \T1/lmr/m/n/10 10.205 [7] Overfull \hbox (1.7777pt too wide) detected at line 531 \T1/lmr/m/n/10 10.206 Overfull \hbox (1.7777pt too wide) detected at line 532 \T1/lmr/m/n/10 10.207 Overfull \hbox (1.7777pt too wide) detected at line 533 \T1/lmr/m/n/10 10.208 Overfull \hbox (1.7777pt too wide) detected at line 534 \T1/lmr/m/n/10 10.209 Overfull \hbox (1.7777pt too wide) detected at line 535 \T1/lmr/m/n/10 10.210 Overfull \hbox (1.7777pt too wide) detected at line 536 \T1/lmr/m/n/10 10.211 Overfull \hbox (1.7777pt too wide) detected at line 537 \T1/lmr/m/n/10 10.212 Overfull \hbox (1.7777pt too wide) detected at line 538 \T1/lmr/m/n/10 10.213 Overfull \hbox (1.7777pt too wide) detected at line 539 \T1/lmr/m/n/10 10.214 Overfull \hbox (1.7777pt too wide) detected at line 540 \T1/lmr/m/n/10 10.215 Overfull \hbox (1.7777pt too wide) detected at line 541 \T1/lmr/m/n/10 10.216 Overfull \hbox (1.7777pt too wide) detected at line 542 \T1/lmr/m/n/10 10.217 Overfull \hbox (1.7777pt too wide) detected at line 543 \T1/lmr/m/n/10 10.218 Overfull \hbox (1.7777pt too wide) detected at line 544 \T1/lmr/m/n/10 10.219 Overfull \hbox (1.7777pt too wide) detected at line 545 \T1/lmr/m/n/10 10.220 Overfull \hbox (1.7777pt too wide) detected at line 546 \T1/lmr/m/n/10 10.221 Overfull \hbox (1.7777pt too wide) detected at line 547 \T1/lmr/m/n/10 10.222 Overfull \hbox (1.7777pt too wide) detected at line 548 \T1/lmr/m/n/10 10.223 Overfull \hbox (1.7777pt too wide) detected at line 549 \T1/lmr/m/n/10 10.224 Overfull \hbox (1.7777pt too wide) detected at line 550 \T1/lmr/m/n/10 10.225 Overfull \hbox (1.7777pt too wide) detected at line 551 \T1/lmr/m/n/10 10.226 Overfull \hbox (1.7777pt too wide) detected at line 552 \T1/lmr/m/n/10 10.227 Overfull \hbox (1.7777pt too wide) detected at line 553 \T1/lmr/m/n/10 10.228 Overfull \hbox (1.7777pt too wide) detected at line 554 \T1/lmr/m/n/10 10.229 Overfull \hbox (1.7777pt too wide) detected at line 555 \T1/lmr/m/n/10 10.230 Overfull \hbox (1.7777pt too wide) detected at line 556 \T1/lmr/m/n/10 10.231 Overfull \hbox (1.7777pt too wide) detected at line 557 \T1/lmr/m/n/10 10.232 Overfull \hbox (1.7777pt too wide) detected at line 558 \T1/lmr/m/n/10 10.233 Overfull \hbox (1.7777pt too wide) detected at line 559 \T1/lmr/m/n/10 10.234 Overfull \hbox (1.7777pt too wide) detected at line 560 \T1/lmr/m/n/10 10.235 Overfull \hbox (1.7777pt too wide) detected at line 561 \T1/lmr/m/n/10 10.236 Overfull \hbox (1.7777pt too wide) detected at line 562 \T1/lmr/m/n/10 10.237 Overfull \hbox (1.7777pt too wide) detected at line 563 \T1/lmr/m/n/10 10.238 Overfull \hbox (1.7777pt too wide) detected at line 564 \T1/lmr/m/n/10 10.239 Overfull \hbox (1.7777pt too wide) detected at line 565 \T1/lmr/m/n/10 10.240 Overfull \hbox (1.7777pt too wide) detected at line 566 \T1/lmr/m/n/10 10.241 Overfull \hbox (1.7777pt too wide) detected at line 567 \T1/lmr/m/n/10 10.242 Overfull \hbox (1.7777pt too wide) detected at line 568 \T1/lmr/m/n/10 10.243 Overfull \hbox (1.7777pt too wide) detected at line 569 \T1/lmr/m/n/10 10.244 Overfull \hbox (1.7777pt too wide) detected at line 570 \T1/lmr/m/n/10 10.245 Overfull \hbox (1.7777pt too wide) detected at line 571 \T1/lmr/m/n/10 10.246 Overfull \hbox (1.7777pt too wide) detected at line 572 \T1/lmr/m/n/10 10.247 Overfull \hbox (1.7777pt too wide) detected at line 573 \T1/lmr/m/n/10 10.248 Overfull \hbox (1.7777pt too wide) detected at line 574 \T1/lmr/m/n/10 10.249 Overfull \hbox (1.7777pt too wide) detected at line 575 \T1/lmr/m/n/10 10.250 Overfull \hbox (1.7777pt too wide) detected at line 576 \T1/lmr/m/n/10 10.251 Overfull \hbox (1.7777pt too wide) detected at line 577 \T1/lmr/m/n/10 10.252 Overfull \hbox (1.7777pt too wide) detected at line 578 \T1/lmr/m/n/10 10.253 Overfull \hbox (1.7777pt too wide) detected at line 579 \T1/lmr/m/n/10 10.254 Overfull \hbox (1.7777pt too wide) detected at line 580 \T1/lmr/m/n/10 10.255 Overfull \hbox (1.7777pt too wide) detected at line 581 \T1/lmr/m/n/10 10.256 Overfull \hbox (1.7777pt too wide) detected at line 582 \T1/lmr/m/n/10 10.257 Overfull \hbox (1.7777pt too wide) detected at line 583 \T1/lmr/m/n/10 10.258 Overfull \hbox (1.7777pt too wide) detected at line 584 \T1/lmr/m/n/10 10.259 [8] Overfull \hbox (1.7777pt too wide) detected at line 585 \T1/lmr/m/n/10 10.260 Overfull \hbox (1.7777pt too wide) detected at line 586 \T1/lmr/m/n/10 10.261 Overfull \hbox (1.7777pt too wide) detected at line 587 \T1/lmr/m/n/10 10.262 Overfull \hbox (1.7777pt too wide) detected at line 588 \T1/lmr/m/n/10 10.263 Overfull \hbox (1.7777pt too wide) detected at line 589 \T1/lmr/m/n/10 10.264 Overfull \hbox (1.7777pt too wide) detected at line 590 \T1/lmr/m/n/10 10.265 Overfull \hbox (1.7777pt too wide) detected at line 591 \T1/lmr/m/n/10 10.266 Overfull \hbox (1.7777pt too wide) detected at line 592 \T1/lmr/m/n/10 10.267 Overfull \hbox (1.7777pt too wide) detected at line 593 \T1/lmr/m/n/10 10.268 Overfull \hbox (1.7777pt too wide) detected at line 594 \T1/lmr/m/n/10 10.269 Overfull \hbox (1.7777pt too wide) detected at line 595 \T1/lmr/m/n/10 10.270 Overfull \hbox (1.7777pt too wide) detected at line 596 \T1/lmr/m/n/10 10.271 Overfull \hbox (1.7777pt too wide) detected at line 597 \T1/lmr/m/n/10 10.272 Overfull \hbox (1.7777pt too wide) detected at line 598 \T1/lmr/m/n/10 10.273 Overfull \hbox (1.7777pt too wide) detected at line 599 \T1/lmr/m/n/10 10.274 Overfull \hbox (1.7777pt too wide) detected at line 600 \T1/lmr/m/n/10 10.275 Overfull \hbox (1.7777pt too wide) detected at line 601 \T1/lmr/m/n/10 10.276 Overfull \hbox (1.7777pt too wide) detected at line 602 \T1/lmr/m/n/10 10.277 Overfull \hbox (1.7777pt too wide) detected at line 603 \T1/lmr/m/n/10 10.278 Overfull \hbox (1.7777pt too wide) detected at line 604 \T1/lmr/m/n/10 10.279 Overfull \hbox (1.7777pt too wide) detected at line 605 \T1/lmr/m/n/10 10.280 Overfull \hbox (1.7777pt too wide) detected at line 606 \T1/lmr/m/n/10 10.281 Overfull \hbox (1.7777pt too wide) detected at line 607 \T1/lmr/m/n/10 10.282 Overfull \hbox (1.7777pt too wide) detected at line 608 \T1/lmr/m/n/10 10.283 Overfull \hbox (1.7777pt too wide) detected at line 609 \T1/lmr/m/n/10 10.284 Overfull \hbox (1.7777pt too wide) detected at line 610 \T1/lmr/m/n/10 10.285 Overfull \hbox (1.7777pt too wide) detected at line 611 \T1/lmr/m/n/10 10.286 ) [9] [10] (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/ufontawesomefree2.fd ) (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) (/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [1{/usr/share/texlive/texmf-dist/fonts/enc/dvips/fontawesome5/fa5free2.enc}{/us r/share/texmf/fonts/enc/dvips/lm/lm-ts1.enc}] Overfull \hbox (45.68733pt too wide) in paragraph at lines 196--198 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (45.68733pt too wide) in paragraph at lines 204--206 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (45.68733pt too wide) in paragraph at lines 212--214 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, [2] Overfull \hbox (45.68733pt too wide) in paragraph at lines 220--222 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (45.68733pt too wide) in paragraph at lines 228--230 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (45.68733pt too wide) in paragraph at lines 236--238 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/getting_started/example_synth.rst, Overfull \hbox (58.88144pt too wide) in paragraph at lines 283--285 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/index.rst, [3] Overfull \hbox (58.88144pt too wide) in paragraph at lines 291--293 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/index.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 299--301 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 307--309 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 315--317 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 323--325 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 331--333 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, [4] Overfull \hbox (143.9655pt too wide) in paragraph at lines 339--341 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 347--349 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 355--357 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 363--365 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (143.9655pt too wide) in paragraph at lines 371--373 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/interactive_investig ation.rst, Overfull \hbox (88.3812pt too wide) in paragraph at lines 379--381 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/load_design.rst, Overfull \hbox (88.3812pt too wide) in paragraph at lines 388--390 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/load_design.rst, [5] Overfull \hbox (106.10341pt too wide) in paragraph at lines 396--398 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (106.10341pt too wide) in paragraph at lines 404--406 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (106.10341pt too wide) in paragraph at lines 412--414 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (106.10341pt too wide) in paragraph at lines 420--422 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (106.10341pt too wide) in paragraph at lines 428--430 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/model_checking.rst, Overfull \hbox (76.4927pt too wide) in paragraph at lines 436--438 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/selections.rst, [6] Overfull \hbox (76.4927pt too wide) in paragraph at lines 444--446 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/selections.rst, Overfull \hbox (76.4927pt too wide) in paragraph at lines 452--454 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/more_scripting/selections.rst, Overfull \hbox (22.54857pt too wide) in paragraph at lines 460--462 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/abc.rst, Overfull \hbox (44.2708pt too wide) in paragraph at lines 468--470 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/cell_libs.rst, Overfull \hbox (38.13246pt too wide) in paragraph at lines 477--479 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/extract.rst, Overfull \hbox (38.13246pt too wide) in paragraph at lines 485--487 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/extract.rst, Overfull \hbox (41.74258pt too wide) in paragraph at lines 493--495 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/memory.rst, [7] Overfull \hbox (41.74258pt too wide) in paragraph at lines 501--503 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/memory.rst, Overfull \hbox (41.74258pt too wide) in paragraph at lines 509--511 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/memory.rst, Overfull \hbox (21.71529pt too wide) in paragraph at lines 517--519 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, Overfull \hbox (21.71529pt too wide) in paragraph at lines 525--527 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, Overfull \hbox (21.71529pt too wide) in paragraph at lines 533--535 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, Overfull \hbox (21.71529pt too wide) in paragraph at lines 541--543 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/opt.rst, Overfull \hbox (26.46524pt too wide) in paragraph at lines 549--551 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/proc.rst, [8] Overfull \hbox (31.21547pt too wide) in paragraph at lines 557--559 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/synth.rst, Overfull \hbox (75.65968pt too wide) in paragraph at lines 565--567 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/techmap_synth.rst, Overfull \hbox (75.65968pt too wide) in paragraph at lines 573--575 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/using_yosys/synthesis/techmap_synth.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 581--583 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 589--591 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 597--599 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, [9] Overfull \hbox (100.96614pt too wide) in paragraph at lines 605--607 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 613--615 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 621--623 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 629--631 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 637--639 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (100.96614pt too wide) in paragraph at lines 645--647 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/extensions.rst, Overfull \hbox (104.35516pt too wide) in paragraph at lines 653--655 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/test_suites.rst , [10] Overfull \hbox (104.35516pt too wide) in paragraph at lines 661--663 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/extending_yosys/test_suites.rst , Overfull \hbox (81.32587pt too wide) in paragraph at lines 669--671 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/flow/control_and_data.rst, Overfull \hbox (38.27055pt too wide) in paragraph at lines 677--679 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/flow/overview.rst, Overfull \hbox (73.57599pt too wide) in paragraph at lines 689--691 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/flow/verilog_frontend.rst, Overfull \hbox (73.57599pt too wide) in paragraph at lines 701--703 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/flow/verilog_frontend.rst, Overfull \hbox (1.57625pt too wide) in paragraph at lines 709--711 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/index.rst, [11] Overfull \hbox (1.57625pt too wide) in paragraph at lines 717--719 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/index.rst, Overfull \hbox (14.90923pt too wide) in paragraph at lines 725--727 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/techmap.rst, Overfull \hbox (6.8819pt too wide) in paragraph at lines 733--735 []\T1/lmr/m/n/10 (The [][]orig-i-nal en-try[][] is lo-cated in /build/reproduci ble-path/yosys-0.51/docs/source/yosys_internals/verilog.rst, [12] Chapter 1. (/usr/share/texlive/texmf-dist/tex/latex/fontawesome5/ufontawesomefree1.fd) [13{/usr/share/texlive/texmf-dist/fonts/enc/dvips/fontawesome5/fa5free1.enc} <. /logo.png>] [14] [15 <./levels_of_abstraction.pdf>] [16] [17] [18] Chapter 2. [19] [20] [21{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texmf/fonts/e nc/dvips/lm/lm-mathsy.enc}] [22] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) [23] [24] [25] [26] [27 <./addr_gen_hier.pdf>] [28 <./addr_gen_proc.pdf> <./addr_gen_clean.pdf pdfTeX warning: pdflatex (file ./addr_gen_clean.pdf): PDF inclusion: multiple p dfs with page group included in a single page >] Overfull \vbox (3.09392pt too high) detected at line 1879 [29] [30 <./rdata_proc.pdf>] [31 <./rdata_flat.pdf>] [32] [33 <./rdata_adffe.pdf>] [34] [35 <./rdata_wreduce.pdf> <./rdata_memrdv2.pdf pdfTeX warning: pdflatex (file ./rdata_memrdv2.pdf): PDF inclusion: multiple pd fs with page group included in a single page >] [36] [37] [38 <./rdata_alumacc.pdf> <./rdata_coarse.pdf pdfTeX warning: pdflatex (file ./rdata_coarse.pdf): PDF inclusion: multiple pdf s with page group included in a single page >] [39 <./rdata_map_ram.pdf>] [40] [41 <./rdata_map_ffram.pdf>] [42] [43 <./rdata_map_gates.pdf>] [44 <./rdata_map_ffs.pdf>] [45 <./rdata_map_luts.pdf>] LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available (Font) Font shape `T1/lmr/b/sl' tried instead on input line 2761. LaTeX Font Warning: Font shape `T1/lmtt/b/it' in size <10> not available (Font) Font shape `T1/lmtt/b/sl' tried instead on input line 2761. [46 <./rdata_map_cells.pdf>] Overfull \vbox (3.16997pt too high) detected at line 2899 [47] [48{/usr/share/texmf/fonts/enc/dvips/lm/lm-rm.enc}] [49] [50] [51 <./addr_gen_show.pdf>] [52] [53 <./new_cells_show.pdf>] [54] Chapter 3. [55] [56] [57] [58 <./proc_01.pdf> <./proc_02.pdf pdfTeX warning: pdflatex (file ./proc_02.pdf): PDF inclusion: multiple pdfs wit h page group included in a single page >] Underfull \vbox (badness 2726) detected at line 3587 [59 <./proc_03.pdf>] [60] [61] [62] [63 <./memory_01.pdf>] [64 <./memory_02.pdf>] [65] [66] [67] [68] [69] [70] [71] [72] [73] [74] Overfull \vbox (2.7645pt too high) detected at line 4903 [75] [76] [77] [78] [79 <./opt_expr.pdf>] [80 <./opt_merge.pdf>] [81 <./opt_muxtree.pdf>] [82 <./opt_share.pdf>] [83] [84] [85] [86 <./macc_simple_test_00a.pdf> <./macc_simple_test_00b.pdf pdfTeX warning: pdflatex (file ./macc_simple_test_00b.pdf): PDF inclusion: mult iple pdfs with page group included in a single page >] [87 <./macc_simple_test_01a.pdf> <./macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./macc_simple_test_01b.pdf): PDF inclusion: mult iple pdfs with page group included in a single page >] [88 <./macc_simple_test_02a.pdf> <./macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./macc_simple_test_02b.pdf): PDF inclusion: mult iple pdfs with page group included in a single page >] [89] Underfull \vbox (badness 5985) detected at line 5805 [90] [91] Underfull \hbox (badness 10000) in paragraph at lines 5881--5881 []\T1/lmr/m/n/10 Listing 3.27: |[]\T1/lmtt/m/n/10 $__mul_wrapper \T1/lmr/m/n/10 mod-ule in [92] Underfull \hbox (badness 10000) in paragraph at lines 5918--5918 []\T1/lmr/m/n/10 Listing 3.28: |[]\T1/lmtt/m/n/10 $__add_wrapper \T1/lmr/m/n/10 mod-ule in [93] [94 <./macc_xilinx_test1a.pdf> <./macc_xilinx_test1b.pdf pdfTeX warning: pdflatex (file ./macc_xilinx_test1b.pdf): PDF inclusion: multip le pdfs with page group included in a single page >] [95 <./macc_xilinx_test2a.pdf> <./macc_xilinx_test2b.pdf pdfTeX warning: pdflatex (file ./macc_xilinx_test2b.pdf): PDF inclusion: multip le pdfs with page group included in a single page >] [96 <./macc_xilinx_test1c.pdf>] [97 <./macc_xilinx_test2c.pdf>] [98 <./macc_xilinx_test1d.pdf> <./macc_xilinx_test2d.pdf pdfTeX warning: pdflatex (file ./macc_xilinx_test2d.pdf): PDF inclusion: multip le pdfs with page group included in a single page >] [99 <./macc_xilinx_test2e.pdf>] [100] [101] [102] [103 <./counter_00.pdf> <./counter_01.pdf pdfTeX warning: pdflatex (file ./counter_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \vbox (badness 10000) detected at line 6521 Overfull \vbox (1.05281pt too high) detected at line 6521 [104 <./counter_02.pdf>] [105 <./counter_03.pdf>] [106] [107] [108] [109] [110 <./sumprod_00.pdf> <./sumprod_01.pdf pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [111 <./sumprod_02.pdf> <./sumprod_03.pdf pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [112 <./sumprod_04.pdf> <./sumprod_05.pdf pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [113] [114 <./memdemo_00.pdf>] [115 <./memdemo_01.pdf> <./memdemo_02.pdf pdfTeX warning: pdflatex (file ./memdemo_02.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [116 <./memdemo_03.pdf>] [117 <./memdemo_05.pdf> <./memdemo_04.pdf pdfTeX warning: pdflatex (file ./memdemo_04.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [118] [119 <./select.pdf>] [120 <./example_first.pdf>] [121 <./example_second.pdf>] [122 <./example_third.pdf>] [123 <./splice.pdf>] [124 <./cmos_00.pdf>] [125 <./cmos_01.pdf>] [126] [127] [128 <./scrambler_p01.pdf> <./scrambler_p02.pdf pdfTeX warning: pdflatex (file ./scrambler_p02.pdf): PDF inclusion: multiple pd fs with page group included in a single page >] Overfull \vbox (2.44472pt too high) detected at line 7922 [129] [130] [131 <./submod_02.pdf> <./submod_03.pdf pdfTeX warning: pdflatex (file ./submod_03.pdf): PDF inclusion: multiple pdfs w ith page group included in a single page > <./submod_01.pdf pdfTeX warning: pdflatex (file ./submod_01.pdf): PDF inclusion: multiple pdfs w ith page group included in a single page >] [132] [133] [134] [135] [136] [137] [138] [139] Underfull \vbox (badness 10000) detected at line 8563 Overfull \vbox (0.72485pt too high) detected at line 8563 [140] [141] [142] Chapter 4. [143] [144] [145 <./overview_flow.pdf> <./approach_flow.pdf>] [146 <./verilog_flow.pdf>] Underfull \hbox (badness 7777) in paragraph at lines 8975--8979 []|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form ] [157] [158] [159] [160] [161] [162] [163 <./simplified_rtlil.pdf>] [164] [165] [166 <./test1.pdf>] [167] Underfull \vbox (badness 10000) detected at line 10971 Overfull \vbox (0.56999pt too high) detected at line 10971 [168] Underfull \vbox (badness 10000) detected at line 10971 Overfull \vbox (0.56999pt too high) detected at line 10971 [169] [170] [171] [172] [173] [174] [175] Underfull \hbox (badness 10000) in paragraph at lines 11742--11751 []\T1/lmr/m/n/10 Be-cause we are us-ing the \T1/lmtt/m/n/10 Backend \T1/lmr/m/n /10 class, our \T1/lmtt/m/n/10 "functional_dummy" \T1/lmr/m/n/10 is reg-is-tere d as the [176] [177] [178] [179] [180] Underfull \vbox (badness 1264) detected at line 12085 [181] Overfull \vbox (2.54712pt too high) detected at line 12152 [182] [183] Underfull \hbox (badness 10000) in paragraph at lines 12200--12200 []\T1/lmr/m/n/10 Listing 4.22: |[]iterating over Func-tion-alIR nodes in Underfull \hbox (badness 10000) in paragraph at lines 12225--12225 []\T1/lmr/m/n/10 Listing 4.24: |[]diff of out-put/next state han-dling [184] Overfull \vbox (1.06844pt too high) detected at line 12302 [185] [186] [187] [188 <./red_or3x1.pdf>] [189 <./sym_mul.pdf>] [190] [191 <./mymul.pdf>] [192 <./mulshift.pdf>] Underfull \hbox (badness 5490) in paragraph at lines 12813--12816 []\T1/lmr/m/n/10 Each bit of the port cor-re-lates to an \T1/lmtt/m/n/10 _TECHM AP_BITS_CONNMAP_ \T1/lmr/m/n/10 bits wide num-ber in Underfull \hbox (badness 10000) in paragraph at lines 12818--12821 []\T1/lmr/m/n/10 Each unique sig-nal bit is as-signed its own num-ber. Iden-ti- cal fields in the [193] [194 <./addshift.pdf>] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] Chapter 5. [205 <./basics_abstractions.pdf>] [206] [207] [208] [209] [210] [211] [212 <./basics_flow.pdf>] [213] [214 <./basics_parsetree.pdf> <./basics_ast.pdf>] [215] [216] Chapter 6. [217] [218] [219] [220] [221] [222] Chapter 7. [223] [224] Chapter 8. Overfull \vbox (1.34746pt too high) detected at line 15202 [225] [226] Underfull \vbox (badness 10000) detected at line 15437 Overfull \vbox (0.56999pt too high) detected at line 15437 [227] Underfull \vbox (badness 10000) detected at line 15437 Overfull \vbox (0.56999pt too high) detected at line 15437 [228] Underfull \vbox (badness 10000) detected at line 15437 Overfull \vbox (0.56999pt too high) detected at line 15437 [229] [230] Chapter 9. [231] [232] [233] [234] [235] [236] [237] [238] [239] [240] [241] [242] [243] [244] [245] [246] [247] [248] [249] [250] [251] [252] [253] [254] Overfull \vbox (2.36986pt too high) detected at line 18186 [255] [256] [257] [258] [259] [260] [261] [262] [263] [264] [265] [266] [267] [268] [269] [270] [271] [272] [273] [274] Underfull \vbox (badness 10000) detected at line 20013 Overfull \vbox (2.76991pt too high) detected at line 20013 [275] [276] Underfull \vbox (badness 10000) detected at line 20152 Overfull \vbox (2.76991pt too high) detected at line 20152 [277] Underfull \vbox (badness 10000) detected at line 20152 Overfull \vbox (0.56999pt too high) detected at line 20152 [278] [279] [280] [281] [282] [283] Underfull \vbox (badness 10000) detected at line 20567 Overfull \vbox (0.56999pt too high) detected at line 20567 [284] [285] [286] [287] [288] Underfull \vbox (badness 10000) detected at line 21002 Overfull \vbox (0.56999pt too high) detected at line 21002 [289] Underfull \vbox (badness 10000) detected at line 21002 Overfull \vbox (0.56999pt too high) detected at line 21002 [290] [291] [292] [293] Underfull \vbox (badness 10000) detected at line 21378 [294] Underfull \vbox (badness 10000) detected at line 21378 Overfull \vbox (0.56999pt too high) detected at line 21378 [295] Underfull \vbox (badness 10000) detected at line 21378 Overfull \vbox (0.56999pt too high) detected at line 21378 [296] [297] [298] [299] [300] [301] [302] [303] [304] [305] [306] [307] [308] [309] [310] [311] [312] [313] [314] [315] [316] [317] [318] [319] [320] [321] [322] [323] [324] [325] [326] [327] [328] [329] [330] [331] [332] [333] [334] [335] [336] [337] [338] [339] [340] [341] [342] [343] [344] [345] [346] [347] [348] [349] [350] [351] [352] [353] [354] [355] [356] [357] [358] [359] [360] [361] [362] [363] [364] [365] [366] [367] [368] [369] [370] [371] [372] [373] [374] [375] [376] [377] [378] [379] [380] [381] [382] [383] [384] [385] [386] Chapter 10. [387] [388] [389] Underfull \vbox (badness 10000) detected at line 31873 Overfull \vbox (0.56999pt too high) detected at line 31873 [390] Underfull \vbox (badness 10000) detected at line 31873 Overfull \vbox (0.56999pt too high) detected at line 31873 [391] Overfull \vbox (2.84741pt too high) detected at line 32031 [392] Underfull \vbox (badness 10000) detected at line 32031 Overfull \vbox (0.56999pt too high) detected at line 32031 [393] Underfull \vbox (badness 10000) detected at line 32031 Overfull \vbox (0.56999pt too high) detected at line 32031 [394] [395] Underfull \vbox (badness 10000) detected at line 32137 Underfull \vbox (badness 10000) detected at line 32137 [396] Overfull \vbox (2.84741pt too high) detected at line 32235 [397] Underfull \vbox (badness 10000) detected at line 32235 Overfull \vbox (0.56999pt too high) detected at line 32235 [398] [399] [400] Overfull \vbox (2.84741pt too high) detected at line 32419 [401] [402] [403] Overfull \vbox (2.84741pt too high) detected at line 32660 [404] [405] [406] [407] [408] [409] Overfull \vbox (1.94772pt too high) detected at line 33104 [410] Overfull \vbox (2.84741pt too high) detected at line 33166 [411] [412] [413] [414] Overfull \vbox (1.94772pt too high) detected at line 33499 [415] [416] [417] [418] [419] [420] Overfull \vbox (1.94772pt too high) detected at line 33976 [421] Overfull \vbox (2.84741pt too high) detected at line 34019 [422] [423] [424] [425] [426] [427] [428] [429] [430] Overfull \vbox (1.94772pt too high) detected at line 34725 [431] Overfull \vbox (2.84741pt too high) detected at line 34825 [432] [433] [434] [435] Overfull \vbox (2.84741pt too high) detected at line 35063 [436] [437] Overfull \vbox (1.94772pt too high) detected at line 35210 [438] [439] Overfull \vbox (1.94772pt too high) detected at line 35343 [440] [441] [442] [443] [444] Underfull \vbox (badness 10000) detected at line 35725 Overfull \vbox (0.56999pt too high) detected at line 35725 [445] [446] Underfull \vbox (badness 10000) detected at line 35872 Overfull \vbox (0.56999pt too high) detected at line 35872 [447] [448] [449] [450] [451] [452] Overfull \vbox (1.94772pt too high) detected at line 36368 [453] Overfull \vbox (2.84741pt too high) detected at line 36425 [454] [455] [456] Underfull \vbox (badness 10000) detected at line 36690 Overfull \vbox (0.56999pt too high) detected at line 36690 [457] [458] [459] [460] [461] [462] Overfull \vbox (2.84741pt too high) detected at line 37159 [463] Overfull \vbox (2.84741pt too high) detected at line 37204 [464] [465] [466] [467] Overfull \vbox (2.84741pt too high) detected at line 37498 [468] [469] [470] [471] [472] [473] [474] Overfull \vbox (1.94772pt too high) detected at line 38100 [475] [476] [477] [478] [479] Underfull \vbox (badness 10000) detected at line 38498 Overfull \vbox (0.56999pt too high) detected at line 38498 [480] [481] [482] Overfull \vbox (2.84741pt too high) detected at line 38730 [483] [484] [485] Underfull \vbox (badness 10000) detected at line 39051 Overfull \vbox (0.56999pt too high) detected at line 39051 [486] Underfull \vbox (badness 10000) detected at line 39051 Overfull \vbox (0.56999pt too high) detected at line 39051 [487] Underfull \vbox (badness 10000) detected at line 39051 Overfull \vbox (0.56999pt too high) detected at line 39051 [488] [489] [490] Overfull \vbox (1.94772pt too high) detected at line 39384 [491] Underfull \vbox (badness 10000) detected at line 39384 Overfull \vbox (0.56999pt too high) detected at line 39384 [492] Underfull \vbox (badness 10000) detected at line 39384 Overfull \vbox (0.56999pt too high) detected at line 39384 [493] [494] Overfull \vbox (2.84741pt too high) detected at line 39510 [495] [496] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [497] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [498] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [499] Underfull \vbox (badness 10000) detected at line 39794 Overfull \vbox (0.56999pt too high) detected at line 39794 [500] [501] [502] [503] [504] Overfull \vbox (2.84741pt too high) detected at line 40181 [505] Overfull \vbox (2.84741pt too high) detected at line 40310 [506] Underfull \vbox (badness 10000) detected at line 40310 Overfull \vbox (0.56999pt too high) detected at line 40310 [507] Underfull \vbox (badness 10000) detected at line 40310 Overfull \vbox (0.56999pt too high) detected at line 40310 [508] [509] [510] [511] [512] Underfull \vbox (badness 10000) detected at line 40708 Overfull \vbox (0.56999pt too high) detected at line 40708 [513] Overfull \vbox (2.84741pt too high) detected at line 40793 [514] Underfull \vbox (badness 10000) detected at line 40793 Overfull \vbox (0.56999pt too high) detected at line 40793 [515] Overfull \vbox (2.84741pt too high) detected at line 40902 [516] [517] [518] Overfull \vbox (2.84741pt too high) detected at line 41082 [519] [520] [521] Underfull \vbox (badness 10000) detected at line 41260 Overfull \vbox (0.56999pt too high) detected at line 41260 [522] Underfull \vbox (badness 10000) detected at line 41260 Overfull \vbox (0.56999pt too high) detected at line 41260 [523] Overfull \vbox (2.84741pt too high) detected at line 41368 [524] Underfull \vbox (badness 10000) detected at line 41368 Overfull \vbox (0.56999pt too high) detected at line 41368 [525] Overfull \vbox (2.84741pt too high) detected at line 41546 [526] Underfull \vbox (badness 10000) detected at line 41546 Overfull \vbox (0.56999pt too high) detected at line 41546 [527] Underfull \vbox (badness 10000) detected at line 41546 Overfull \vbox (0.56999pt too high) detected at line 41546 [528] Overfull \vbox (2.84741pt too high) detected at line 41709 [529] Underfull \vbox (badness 10000) detected at line 41709 Overfull \vbox (0.56999pt too high) detected at line 41709 [530] Underfull \vbox (badness 10000) detected at line 41709 Overfull \vbox (0.56999pt too high) detected at line 41709 [531] Overfull \vbox (2.84741pt too high) detected at line 41846 [532] Underfull \vbox (badness 10000) detected at line 41846 Overfull \vbox (0.56999pt too high) detected at line 41846 [533] Overfull \vbox (2.84741pt too high) detected at line 41946 [534] Underfull \vbox (badness 10000) detected at line 41946 Overfull \vbox (0.56999pt too high) detected at line 41946 [535] Overfull \vbox (2.84741pt too high) detected at line 42142 [536] Underfull \vbox (badness 10000) detected at line 42142 Overfull \vbox (0.56999pt too high) detected at line 42142 [537] Underfull \vbox (badness 10000) detected at line 42142 Overfull \vbox (0.56999pt too high) detected at line 42142 [538] Underfull \vbox (badness 10000) detected at line 42142 Overfull \vbox (0.56999pt too high) detected at line 42142 [539] Overfull \vbox (2.84741pt too high) detected at line 42286 [540] Underfull \vbox (badness 10000) detected at line 42286 Overfull \vbox (0.56999pt too high) detected at line 42286 [541] [542] Underfull \vbox (badness 10000) detected at line 42415 Overfull \vbox (0.56999pt too high) detected at line 42415 [543] Underfull \vbox (badness 10000) detected at line 42415 Overfull \vbox (0.56999pt too high) detected at line 42415 [544] Overfull \vbox (2.84741pt too high) detected at line 42597 [545] Underfull \vbox (badness 10000) detected at line 42597 Overfull \vbox (0.56999pt too high) detected at line 42597 [546] Underfull \vbox (badness 10000) detected at line 42597 Overfull \vbox (0.56999pt too high) detected at line 42597 [547] Overfull \vbox (2.84741pt too high) detected at line 42772 [548] Underfull \vbox (badness 10000) detected at line 42772 Overfull \vbox (0.56999pt too high) detected at line 42772 [549] Underfull \vbox (badness 10000) detected at line 42772 Overfull \vbox (0.56999pt too high) detected at line 42772 [550] Overfull \vbox (2.84741pt too high) detected at line 42922 [551] Underfull \vbox (badness 10000) detected at line 42922 Overfull \vbox (0.56999pt too high) detected at line 42922 [552] Underfull \vbox (badness 10000) detected at line 42922 Overfull \vbox (0.56999pt too high) detected at line 42922 [553] Overfull \vbox (2.84741pt too high) detected at line 43081 [554] Underfull \vbox (badness 10000) detected at line 43081 Overfull \vbox (0.56999pt too high) detected at line 43081 [555] Underfull \vbox (badness 10000) detected at line 43081 Overfull \vbox (0.56999pt too high) detected at line 43081 [556] Overfull \vbox (2.84741pt too high) detected at line 43249 [557] Underfull \vbox (badness 10000) detected at line 43249 Overfull \vbox (0.56999pt too high) detected at line 43249 [558] Underfull \vbox (badness 10000) detected at line 43249 Overfull \vbox (0.56999pt too high) detected at line 43249 [559] Overfull \vbox (2.84741pt too high) detected at line 43364 [560] Underfull \vbox (badness 10000) detected at line 43364 Overfull \vbox (0.56999pt too high) detected at line 43364 [561] Overfull \vbox (2.84741pt too high) detected at line 43579 [562] Underfull \vbox (badness 10000) detected at line 43579 Overfull \vbox (0.56999pt too high) detected at line 43579 [563] Underfull \vbox (badness 10000) detected at line 43579 Overfull \vbox (0.56999pt too high) detected at line 43579 [564] Underfull \vbox (badness 10000) detected at line 43579 Overfull \vbox (0.56999pt too high) detected at line 43579 [565] [566] Overfull \vbox (1.94772pt too high) detected at line 43838 [567] Underfull \vbox (badness 10000) detected at line 43838 Overfull \vbox (0.56999pt too high) detected at line 43838 [568] Underfull \vbox (badness 10000) detected at line 43838 Overfull \vbox (0.56999pt too high) detected at line 43838 [569] Overfull \vbox (2.84741pt too high) detected at line 43875 [570] [571] Overfull \vbox (2.84741pt too high) detected at line 44027 [572] [573] [574] Overfull \vbox (1.94772pt too high) detected at line 44323 [575] Underfull \vbox (badness 10000) detected at line 44323 Overfull \vbox (0.56999pt too high) detected at line 44323 [576] [577] Overfull \vbox (1.94772pt too high) detected at line 44472 [578] [579] [580] Overfull \vbox (1.94772pt too high) detected at line 44665 [581] Overfull \vbox (2.84741pt too high) detected at line 44747 [582] Overfull \vbox (2.84741pt too high) detected at line 44786 [583] Overfull \vbox (2.84741pt too high) detected at line 45041 [584] Underfull \vbox (badness 10000) detected at line 45041 Overfull \vbox (0.56999pt too high) detected at line 45041 [585] Underfull \vbox (badness 10000) detected at line 45041 Overfull \vbox (0.56999pt too high) detected at line 45041 [586] Underfull \vbox (badness 10000) detected at line 45041 Overfull \vbox (0.56999pt too high) detected at line 45041 [587] [588] [589] [590] [591] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [592] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [593] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [594] Underfull \vbox (badness 10000) detected at line 45553 Overfull \vbox (0.56999pt too high) detected at line 45553 [595] [596] Overfull \vbox (1.94772pt too high) detected at line 45781 [597] Underfull \vbox (badness 10000) detected at line 45781 Overfull \vbox (0.56999pt too high) detected at line 45781 [598] Underfull \vbox (badness 10000) detected at line 45781 Overfull \vbox (0.56999pt too high) detected at line 45781 [599] [600] [601] Underfull \vbox (badness 10000) detected at line 45992 Overfull \vbox (0.56999pt too high) detected at line 45992 [602] [603] [604] Overfull \vbox (2.84741pt too high) detected at line 46184 [605] Overfull \vbox (2.84741pt too high) detected at line 46248 [606] [607] [608] Underfull \hbox (badness 5652) in paragraph at lines 46282--46284 \T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. Underfull \hbox (badness 7685) in paragraph at lines 46285--46287 []\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo r ver-ilog hard-ware de- Underfull \hbox (badness 5022) in paragraph at lines 46285--46287 \T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. [609] [610] [611] [612] [613] [614] [615] [616] [617] [618] [619] [620] [621] [622] Underfull \hbox (badness 10000) in paragraph at lines 47244--47245 []\T1/lmtt/m/n/10 write_functional_cxx Underfull \hbox (badness 10000) in paragraph at lines 47245--47246 []\T1/lmtt/m/n/10 write_functional_rosette Underfull \hbox (badness 10000) in paragraph at lines 47246--47247 []\T1/lmtt/m/n/10 write_functional_smt2 [623] (./yosyshqyosys.ind) (./yosyshqyosys.aux) ) (see the transcript file for additional information) Output written on yosyshqyosys.pdf (635 pages, 3053215 bytes). Transcript written on yosyshqyosys.log. Latexmk: Getting log file 'yosyshqyosys.log' Latexmk: Examining 'yosyshqyosys.fls' Latexmk: Examining 'yosyshqyosys.log' Latexmk: Index file 'yosyshqyosys.idx' was written Latexmk: Log file says output to 'yosyshqyosys.pdf' Have index file 'yosyshqyosys.idx', yosyshqyosys.ind yosyshqyosys Latexmk: All targets (yosyshqyosys.pdf) are up-to-date make[4]: Leaving directory '/build/reproducible-path/yosys-0.51/docs/build/latex' pdflatex finished; the PDF files are in build/latex. make[3]: Leaving directory '/build/reproducible-path/yosys-0.51/docs' make[2]: Leaving directory '/build/reproducible-path/yosys-0.51' make[1]: Leaving directory '/build/reproducible-path/yosys-0.51' rm -f debian/yosys-doc.debhelper.log debian/rules override_dh_auto_test-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.51' dh_auto_test -- PATH="$PWD:$PATH" make -j22 test PATH=/build/reproducible-path/yosys-0.51:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games make[2]: Entering directory '/build/reproducible-path/yosys-0.51' [Makefile.conf] CONFIG := gcc [Makefile.conf] STRIP=: make -C tests/arch/anlogic -f run-test.mk make -C tests/arch/ecp5 -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/anlogic' make -C tests/arch/efinix -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/ecp5' make -C tests/arch/gatemate -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/efinix' make -C tests/arch/gowin -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/gatemate' make -C tests/arch/ice40 -f run-test.mk make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/gowin' make[3]: Entering directory '/build/reproducible-path/yosys-0.51/tests/arch/ice40' Warning: Literal has a width of 16 bit, but value requires 184 bit. (<